l       单口RAM

// Quartus II Verilog Template

// Single port RAM with single read/write address

 

module single_port_ram

(

    input [(DATA_WIDTH-1):0] data,

    input [(ADDR_WIDTH-1):0] addr,

    input we, clk,

    output reg [(DATA_WIDTH-1):0] q

);

 

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

 

    // Declare the RAM variable

    reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

 

    always @ (posedge clk)

    begin

        // Write

        if (we)

            ram[addr] = data;

 

        // Read returns NEW data at addr if we == 1'b1. This is the

        // natural behavior of TriMatrix memory blocks in Single Port

        // mode

        q <= ram[addr];

    end

 

endmodule

 

 

l        简单双口RAM单时钟

// Quartus II Verilog Template

// Simple Dual Port RAM with separate read/write addresses and

// single read/write clock

 

module simple_dual_port_ram_single_clock

(

    input [(DATA_WIDTH-1):0] data,

    input [(ADDR_WIDTH-1):0] read_addr, write_addr,

    input we, clk,

    output reg [(DATA_WIDTH-1):0] q

);

 

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

 

    // Declare the RAM variable

    reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

 

    always @ (posedge clk)

    begin

        // Write

        if (we)

            ram[write_addr] <= data;

 

        // Read (if read_addr == write_addr, return OLD data).  To return

        // NEW data, use = (blocking write) rather than <= (non-blocking write)

        // in the write assignment. NOTE: NEW data may require extra bypass

        // logic around the RAM.

        q <= ram[read_addr];

    end

 

endmodule

 

 

l        简单双口RAM(双时钟)

// Quartus II Verilog Template

// Simple Dual Port RAM with separate read/write addresses and

// separate read/write clocks

 

module simple_dual_port_ram_dual_clock

(

    input [(DATA_WIDTH-1):0] data,

    input [(ADDR_WIDTH-1):0] read_addr, write_addr,

    input we, read_clock, write_clock,

    output reg [(DATA_WIDTH-1):0] q

);

   

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

   

    // Declare the RAM variable

    reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

   

    always @ (posedge write_clock)

    begin

        // Write

        if (we)

            ram[write_addr] <= data;

    end

   

    always @ (posedge read_clock)

    begin

        // Read

        q <= ram[read_addr];

    end

   

endmodule

 

 

l        完整的双口RAM(单时钟)

// Quartus II Verilog Template

// Simple Dual Port RAM with separate read/write addresses and

// separate read/write clocks

 

module simple_dual_port_ram_dual_clock

(

    input [(DATA_WIDTH-1):0] data,

    input [(ADDR_WIDTH-1):0] read_addr, write_addr,

    input we, read_clock, write_clock,

    output reg [(DATA_WIDTH-1):0] q

);

   

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

   

    // Declare the RAM variable

    reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

   

    always @ (posedge write_clock)

    begin

        // Write

        if (we)

            ram[write_addr] <= data;

    end

   

    always @ (posedge read_clock)

    begin

        // Read

        q <= ram[read_addr];

    end

   

endmodule

 

 

l        完整的双口RAM(双时钟)

// Quartus II Verilog Template

// True Dual Port RAM with dual clocks

 

module true_dual_port_ram_dual_clock

(

    input [(DATA_WIDTH-1):0] data_a, data_b,

    input [(ADDR_WIDTH-1):0] addr_a, addr_b,

    input we_a, we_b, clk_a, clk_b,

    output reg [(DATA_WIDTH-1):0] q_a, q_b

);

 

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

 

    // Declare the RAM variable

    reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

 

    always @ (posedge clk_a)

    begin

        // Port A

        if (we_a)

        begin

            ram[addr_a] <= data_a;

            q_a <= data_a;

        end

        else

        begin

            q_a <= ram[addr_a];

        end

    end

 

    always @ (posedge clk_b)

    begin

        // Port B

        if (we_b)

        begin

            ram[addr_b] <= data_b;

            q_b <= data_b;

        end

        else

        begin

            q_b <= ram[addr_b];

        end

    end

 

endmodule

 

 

l        单口ROM

// Quartus II Verilog Template

// Single Port ROM

 

module single_port_rom

(

    input [(ADDR_WIDTH-1):0] addr,

    input clk,

    output reg [(DATA_WIDTH-1):0] q

);

 

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

 

    // Declare the ROM variable

    reg [DATA_WIDTH-1:0] rom[2**ADDR_WIDTH-1:0];

 

    // Initialize the ROM with $readmemb.  Put the memory contents

    // in the file single_port_rom_init.txt.  Without this file,

    // this design will not compile.

    // See Verilog LRM 1364-2001 Section 17.2.8 for details on the

    // format of this file.

 

    initial

    begin

        $readmemb("single_port_rom_init.txt", rom);

    end

 

    always @ (posedge clk)

    begin

        q <= rom[addr];

    end

 

endmodule

 

 

l        双口ROM

// Quartus II Verilog Template

// Dual Port ROM

 

module dual_port_rom

(

    input [(ADDR_WIDTH-1):0] addr_a, addr_b,

    input clk,

    output reg [(DATA_WIDTH-1):0] q_a, q_b

);

 

    parameter DATA_WIDTH = 8;

    parameter ADDR_WIDTH = 6;

 

    // Declare the ROM variable

    reg [DATA_WIDTH-1:0] rom[2**ADDR_WIDTH-1:0];

 

    // Initialize the ROM with $readmemb.  Put the memory contents

    // in the file dual_port_rom_init.txt.  Without this file,

    // this design will not compile.

    // See Verilog LRM 1364-2001 Section 17.2.8 for details on the

    // format of this file.

 

    initial

    begin

        $readmemb("dual_port_rom_init.txt", rom);

    end

 

    always @ (posedge clk)

    begin

        q_a <= rom[addr_a];

        q_b <= rom[addr_b];

    end

 

endmodule

 

 

l        无符号加法器

// Quartus II Verilog Template

// Unsigned Adder

 

module unsigned_adder

(

    input [WIDTH-1:0] dataa,

    input [WIDTH-1:0] datab,

    input cin,

    output [WIDTH:0] result

);

 

    parameter WIDTH = 16;

 

    assign result = dataa + datab + cin;

 

endmodule

 

l        有符号加法器

// Quartus II Verilog Template

// Signed adder

 

module signed_adder

(

    input signed [WIDTH-1:0] dataa,

    input signed [WIDTH-1:0] datab,

    input cin,

    output [WIDTH:0] result

);

 

    parameter WIDTH = 16;

 

    assign result = dataa + datab + cin;

 

endmodule

 

l        无符号乘法器

// Quartus II Verilog Template

// Unsigned multiply

 

module unsigned_multiply

(

    input [WIDTH-1:0] dataa,

    input [WIDTH-1:0] datab,

    output [2*WIDTH-1:0] dataout

);

 

    parameter WIDTH = 8;

 

    assign dataout = dataa * datab;

 

endmodule

 

 

l        有符号乘法器

// Quartus II Verilog Template

// Signed multiply

 

module signed_multiply

(

    input signed [WIDTH-1:0] dataa,

    input signed [WIDTH-1:0] datab,

    output [2*WIDTH-1:0] dataout

);

 

    parameter WIDTH = 8;

 

    assign dataout = dataa * datab;

 

endmodule

 

 

l        带输入输出寄存器的无符号乘法器

// Quartus II Verilog Template

// Unsigned multiply with input and output registers

 

module unsigned_multiply_with_input_and_output_registers

(

    input clk,

    input [WIDTH-1:0] dataa,

    input [WIDTH-1:0] datab,

    output reg [2*WIDTH-1:0] dataout

);

 

    parameter WIDTH = 8;

 

    // Declare input and output registers

    reg [WIDTH-1:0] dataa_reg;

    reg [WIDTH-1:0] datab_reg;

    wire [2*WIDTH-1:0] mult_out;

 

    // Store the result of the multiply

    assign mult_out = dataa_reg * datab_reg;

 

    // Update data

    always @ (posedge clk)

    begin

        dataa_reg <= dataa;

        datab_reg <= datab;

        dataout <= mult_out;

    end

 

endmodule

 

 

l        带输入输出的有符号乘法器

// Quartus II Verilog Template

// Signed multiply with input and output registers

 

module signed_multiply_with_input_and_output_registers

(

    input clk,

    input signed [WIDTH-1:0] dataa,

    input signed [WIDTH-1:0] datab,

    output reg signed [2*WIDTH-1:0] dataout

);

 

    parameter WIDTH = 8;

 

    // Declare input and output registers

    reg signed [WIDTH-1:0] dataa_reg;

    reg signed [WIDTH-1:0] datab_reg;

    wire signed [2*WIDTH-1:0] mult_out;

 

    // Store the result of the multiply

    assign mult_out = dataa_reg * datab_reg;

 

    // Update data

    always @ (posedge clk)

    begin

        dataa_reg <= dataa;

        datab_reg <= datab;

        dataout <= mult_out;

    end

 

endmodule

 

 

l        无符号乘加器

// Quartus II Verilog Template

// Unsigned multiply-accumulate

 

module unsigned_multiply_accumulate

(

    input clk, aclr, clken, sload,

    input [WIDTH-1:0] dataa,

    input [WIDTH-1:0] datab,

    output reg [4*WIDTH-1:0] adder_out

);

 

    parameter WIDTH = 8;

 

    // Declare registers and wires

    reg [4*WIDTH-1:0] old_result;

    wire [2*WIDTH-1:0] multa;

 

    // Store the results of the operations on the current data

    assign multa = dataa * datab;

 

    // Store the value of the accumulation (or clear it)

    always @ (adder_out, sload)

    begin

        if (sload)

            old_result <= 0;

        else

            old_result <= adder_out;

    end

 

    // Clear or update data, as appropriate

    always @ (posedge clk or posedge aclr)

    begin

        if (aclr)

        begin

            adder_out <= 0;

        end

        else if (clken)

        begin

            adder_out <= old_result + multa;

        end

    end

endmodule

 

l        有符号乘加器

(略)

 

l        基本移位寄存器

// Quartus II Verilog Template

// One-bit wide, N-bit long shift register

 

module basic_shift_register

(

    input clk, enable,

    input sr_in,

    output sr_out

);

 

    parameter N = 64;

 

    // Declare the shift register

    reg [N-1:0] sr;

 

    // Shift everything over, load the incoming bit

    always @ (posedge clk)

    begin

        if (enable == 1'b1)

        begin

            sr[N-1:1] <= sr[N-2:0];

            sr[0] <= sr_in;

        end

    end

 

    // Catch the outgoing bit

    assign sr_out = sr[N-1];

 

endmodule

 

 

l        Mealy状态机

// Quartus II Verilog Template

// 4-State Mealy state machine

 

// A Mealy machine has outputs that depend on both the state and

// the inputs.  When the inputs change, the outputs are updated

// immediately, without waiting for a clock edge.  The outputs

// can be written more than once per state or per clock cycle.

 

module four_state_mealy_state_machine

(

    input   clk, in, reset,

    output reg [1:0] out

);

 

    // Declare state register

    reg     [1:0]state;

 

    // Declare states

    parameter S0 = 0, S1 = 1, S2 = 2, S3 = 3;

 

    // Determine the next state synchronously, based on the

    // current state and the input

    always @ (posedge clk or posedge reset) begin

        if (reset)

            state <= S0;

        else

            case (state)

                S0:

                    if (in)

                    begin

                        state <= S1;

                    end

                    else

                    begin

                        state <= S1;

                    end

                S1:

                    if (in)

                    begin

                        state <= S2;

                    end

                    else

                    begin

                        state <= S1;

                    end

                S2:

                    if (in)

                    begin

                        state <= S3;

                    end

                    else

                    begin

                        state <= S1;

                    end

                S3:

                    if (in)

                    begin

                        state <= S2;

                    end

                    else

                    begin

                        state <= S3;

                    end

            endcase

    end

 

    // Determine the output based only on the current state

    // and the input (do not wait for a clock edge).

    always @ (state or in)

    begin

            case (state)

                S0:

                    if (in)

                    begin

                        out = 2'b00;

                    end

                    else

                    begin

                        out = 2'b10;

                    end

                S1:

                    if (in)

                    begin

                        out = 2'b01;

                    end

                    else

                    begin

                        out = 2'b00;

                    end

                S2:

                    if (in)

                    begin

                        out = 2'b10;

                    end

                    else

                    begin

                        out = 2'b01;

                    end

                S3:

                    if (in)

                    begin

                        out = 2'b11;

                    end

                    else

                    begin

                        out = 2'b00;

                    end

            endcase

    end

 

endmodule

l        Moor状态机

 

// Quartus II Verilog Template

// 4-State Moore state machine

 

// A Moore machine's outputs are dependent only on the current state.

// The output is written only when the state changes.  (State

// transitions are synchronous.)

 

module four_state_moore_state_machine

(

    input   clk, in, reset,

    output reg [1:0] out

);

 

    // Declare state register

    reg     [1:0]state;

 

    // Declare states

    parameter S0 = 0, S1 = 1, S2 = 2, S3 = 3;

 

    // Output depends only on the state

    always @ (state) begin

        case (state)

            S0:

                out = 2'b01;

            S1:

                out = 2'b10;

            S2:

                out = 2'b11;

            S3:

                out = 2'b00;

            default:

                out = 2'b00;

        endcase

    end

 

    // Determine the next state

    always @ (posedge clk or posedge reset) begin

        if (reset)

            state <= S0;

        else

            case (state)

                S0:

                    state <= S1;

                S1:

                    if (in)

                        state <= S2;

                    else

                        state <= S1;

                S2:

                    if (in)

                        state <= S3;

                    else

                        state <= S1;

                S3:

                    if (in)

                        state <= S2;

                    else

                        state <= S3;

            endcase

    end

 

endmodule

 

l        流水线加法树

// Quartus II Verilog Template

// Pipelined binary adder tree

 

module pipelined_binary_adder_tree

(

    input [WIDTH-1:0] A, B, C, D, E,

    input clk,

    output [WIDTH-1:0] out

);

 

    parameter WIDTH = 16;

 

    wire [WIDTH-1:0] sum1, sum2, sum3, sum4;

    reg [WIDTH-1:0] sumreg1, sumreg2, sumreg3, sumreg4;

 

    always @ (posedge clk)

    begin

        sumreg1 <= sum1;

        sumreg2 <= sum2;

        sumreg3 <= sum3;

        sumreg4 <= sum4;

    end

 

    // 2-bit additions

    assign sum1 = A + B;

    assign sum2 = C + D;

    assign sum3 = sumreg1 + sumreg2;

    assign sum4 = sumreg3 + E;

    assign out = sumreg4;

 

endmodule

 

 

l        三态缓冲器(完成双向口的输入输出)

// When tri-state buffers are output enabled, they output a value.

// Otherwise their "output" is set to high-impedence.

inout <bidir_variable>;

assign <bidir_variable> = (<output_enable> ? <data> : 1'bZ);

 

l        三态寄存器

// Tri-state registers are registers on inout ports.  As with any

// registers, their output can be updated synchronously or asynchronously.

inout reg <bidir_variable>;

always @ (posedge <clock_signal> or negedge <asynch_output_enable>)

begin

    if (!<asynch_output_enable>)

    begin

        <bidir_variable> <= 1'bZ;

    end

    else

    begin

        <bidir_variable> <= (<output_enable>) ? <data> : 1'bZ;

    end

end

 

l        双向I/O

module bidirectional_io (input <output_enable>, input [WIDTH-1:0] <data>, inout [WIDTH-1:0] <bidir_variable>, output [WIDTH-1:0] <read_buffer>);

 

    parameter WIDTH = 4;

 

    // If we are using the bidir as an output, assign it an output value,

    // otherwise assign it high-impedence

    assign <bidir_variable> = (<output_enable> ? <data> : {WIDTH{1'bz}});

 

    // Read in the current value of the bidir port, which comes either

    // from the input or from the previous assignment.

    assign <read_buffer> = <bidir_variable>;

 

endmodule

 

 

 

l        综合属性

1.        保持属性

// Prevents Quartus II from minimizing or removing a particular

// signal net during combinational logic optimization.  Apply

// the attribute to a net or variable declaration.

 

(* keep *) wire <net_name>;

(* keep *) reg <variable_name>;

2.        保护属性

// Prevents Quartus II from optimizing away a register. Apply

// the attribute to the variable declaration for an object that infers

// a register.

 

(* preserve *) <variable_declaration>;

(* preserve *) module <module_name>(...);

 

l        ALTERA原语

1.        缓冲器

u      LCELL   LCELL <instance_name> (.in(<data_in>), .out(<data_out>));

 

;

转载于:https://www.cnblogs.com/danh/archive/2010/12/29/1920509.html

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. 时隔一年,盘点CVPR 2019影响力最大的20篇论文

    CVPR 2019 已经过去一年了&#xff0c;本文盘点其中影响力最大的 20 篇论文&#xff0c;这里的影响力以谷歌学术上显示的论文的引用量排序&#xff0c;截止时间为2020年7月22日。其中的一些结论蛮有意思的&#xff1a;1. 这 20 篇论文全部开源了。不开源的论文复现代价大&#…...

    2024/4/27 13:28:59
  2. 斯坦福大学计算机类课程视频

    斯坦福大学计算机类课程都是以CS开头编号&#xff0c;可以在网址https://exploredegrees.stanford.edu/coursedescriptions/cs/查询&#xff0c;在网上可以登录查看课程的课件和视频等&#xff0c;在B站上可以搜索到部分带中文字幕的授课视频&#xff0c;名校的视频确实非常板扎…...

    2024/4/20 20:44:29
  3. 人脸关键点检测论文总结

    文章目录第一部分&#xff1a;人脸关键点检测历年论文汇总1.1 2013 年以前1.2 2013 年1.2.1 CVPR 2013: DCNN —— Deep Convolutional Network Cascade for Facial Point Detection1.3 2014 年1.3.1 ECCV 2014: TCDCN —— Facial Landmark Detection by Deep Multi-task Lear…...

    2024/4/27 13:57:44
  4. 利用docker 搭建deepfake faceswap 环境

    如果你不想在本地做繁琐的环境配置&#xff0c;你可以下载一个搭载有faceswap开发环境的docker 镜像。 下载搭载有faceswap的docker 镜像 docker pull curlyxi/faceswap:v1. 启动docker: sudo docker run -t -i --nethost curlyxi/faceswap:v1 在docker终端执行 cd /notebo…...

    2024/4/20 20:44:27
  5. pytorch 生成模型_在pytorch上编写您的第一个生成对抗网络模型

    pytorch 生成模型Detailed instructions for constructing generative adversarial neural networks (GANs) using the example of two models implemented using the PyTorch deep learning framework.使用通过PyTorch深度学习框架实现的两个模型的示例来构建生成对抗性神经网…...

    2024/4/20 20:44:26
  6. 深度学习在计算机视觉中的应用长篇综述

    深度学习在计算机视觉中的应用长篇综述 前言 2012年ImageNet比赛&#xff0c;使深度学习在计算机视觉领域在全世界名声大震&#xff0c;由此人工智能的全球大爆发。第一个研究CNN的专家使Yann LeCun&#xff0c;现就职于Facebook和纽约大学。第一个CNN模型就是Yann LeCun发明…...

    2024/4/20 20:44:25
  7. 内容 AI:建立统一的跨媒体多模态内容理解内核

    转载&#xff1a;https://aijishu.com/a/1060000000089247 作者&#xff1a; 孙子荀 zixunsuntencent.com&#xff0c;腾讯 专家研究员 Jeff Dean 谈 2020 年机器学习趋势&#xff1a;多任务和多模式学习将成为突破口 2019 年下半年&#xff0c;CDG 广告、 CSIG 音视频&…...

    2024/4/27 15:36:30
  8. 机器人工程本科专业课教学资源汇总(2018年暑假补充学习用)

    手机应用软件&#xff1a;Robotics Engineering - Apps on Google Play This Robotics Engineering App provides the basic know-how on the foundations of robotics: modelling, planning and control. The App takes the user through a step-by step design process in t…...

    2024/4/20 11:35:00
  9. NIPS2019 | 2019NIPS论文 | NeurIPS2019最新更新论文~持续更新| NIPS2019百度云下载

    论文下载百度云链接&#xff1a;链接&#xff1a;https://pan.baidu.com/s/100OAXTIOTPoMjbi-dwOcxA 提取码&#xff1a;请关注【计算机视觉联盟】微信公众号&#xff0c;回复&#xff1a;NIPS2019 今天更新到2019年9月6号 目录 今天更新到2019年9月4号 Understanding the…...

    2024/4/26 22:54:45
  10. 云计算的技术路线探讨

    引言当前的“云计算”一词已经被神话,似乎快成了放之四海皆准的时髦真理,就好比当初言必称“希腊”一般,表面光芒四射,但实际上却无比教条、且越来越令人生厌。作为“云计算”的一个普通开发者和推广者,很有必要通过亲身实践,以正视听,希望能让后来者(云计算系统的开发…...

    2024/4/21 1:23:41
  11. camvid数据集介绍_语义分割的数据集

    背景语义分割指的是把图像中的每个像素都划分到某一个类别上。实现算法上&#xff0c;有传统时代的grab cut、ML时代的TextonForest、DL时代的FCN 、SegNet 、Dilated Convolutions 、DeepLab (v1 & v2)、RefineNet 、PSPNet 、Large Kernel Matters 、DeepLab v3等。当然了…...

    2024/4/27 14:07:53
  12. [语义分割]训练deeplabv3(一):建立自己的数据集

    [deeplabv3]:https://github.com/tensorflow/models/tree/master/research/deeplab [labelme]:https://github.com/wkentaro/labelme 简介 本博客主要介绍了,deeplabv3训练前的自己数据集准备工作: 即将用labelme标记的自己的语义分割数据集转化为deeplabv3训练支持的tfreco…...

    2024/4/21 1:23:38
  13. 解决“OSError: [WinError 126] 找不到指定的模块”的问题 Win10

    针对情景&#xff1a; Win10下运行py工程报错&#xff0c;最后一行为“OSError: [WinError 126] 找不到指定的模块”倒数第二端为Shapely相关函数的语句&#xff08;如下图&#xff09;PS&#xff1a;其他情景用该方法未必有效 问题原因&#xff1a; 由上图可见&#xff0c;倒…...

    2024/4/20 13:22:59
  14. ImportError: DLL load failed: 页面文件太小,无法完成操作。

    python代码训练神经网络时&#xff0c;报错&#xff1a;“ImportError: DLL load failed: 页面文件太小&#xff0c;无法完成操作。” 出现这个问题可能有两种情况。 ①不止在运行一个项目&#xff0c;另一个项目的python程序也在运行&#xff0c;关掉就可以了。 ②windows操作…...

    2024/4/20 20:44:45
  15. ImportError: Could not find the DLL(s) 'msvcp140_1.dll'. 跑tensorflow时报错

    解决思路是缺失dll文件&#xff0c;安装这个文件就可以。试过去网站下载dll&#xff0c;还是不行。有人说用腾讯电脑管家的dll文件修复功能&#xff0c;也不行。最后在这个网站找到了。 https://support.microsoft.com/zh-cn/help/2977003/the-latest-supported-visual-c-down…...

    2024/4/20 20:44:43
  16. 列表取片操作易错点(list indices must be integers or slices, not tuple原因及解决方法)

    list indices must be integers or slices, not tuple原因及解决方法 场景&#xff1a; 在对列表进行取数据时报错&#xff0c;报错代码&#xff1a; TypeError: list indices must be integers or slices, not tuple翻译&#xff1a; 列表索引必须是整数&#xff08;取某一个…...

    2024/4/20 20:44:42
  17. 【终极!!!】cannot connect to X server, couldnt connect to display

    目前遇到了两种报这个错的情况&#xff1a; 情况一&#xff1a;本地在外网&#xff0c;通过SSH登录到内网服务器。运行代码&#xff0c; 报错&#xff1a; &#xff08;1&#xff09;cannot connect to X server &#xff08;2&#xff09;_tkinter.TclError: couldnt conn…...

    2024/4/24 12:26:21
  18. VGG训练报错:Errors may have originated from an input operation.

    利用自己做的数据集训练VGG时,出现报错信息: Errors may have originated from an input operation. Input Source operations connected to node conv0/Conv1/Conv2D: IteratorGetNext (defined at train_resnet.py:95) Input Source operations connected to node conv0/Co…...

    2024/4/20 20:44:41
  19. 报错:module ffmpeg has no attribute input 解决方法

    1、检查是否安装正确的包 kkroening/ffmpeg-python: Python bindings for FFmpeg - with complex filtering support (github.com) 这是官网&#xff0c;该包正确名称为ffmpeg-python&#xff0c;易与ffmpeg、python-ffmpeg混淆&#xff1b;使用以下命令进行重新安装&#xf…...

    2024/4/23 0:51:03
  20. CodeMonkey流水账:字符串格式化or不格式化

    需要记录/打印的文本内容中有格式化字符串 比如记录一句SQL里面有类似&#xff1a; someField like ‘ABC%’。 writeLog("someField like ABC%");下面的函数就会报错。 public static void writeLog(LogType type, String format, Object... args) throws TNUExce…...

    2024/4/20 20:44:38

最新文章

  1. 代码随想录算法训练营第三十八天| 动态规划,509. 斐波那契数,70. 爬楼梯,746. 使用最小花费爬楼梯

    目录 动态规划 题目链接&#xff1a; 509. 斐波那契数 思路 代码 题目链接&#xff1a; 70. 爬楼梯 思路 代码 题目链接&#xff1a; 746. 使用最小花费爬楼梯 思路 代码 总结 动态规划 Dynamic programming&#xff0c;DP问题。某一问题包含很多重叠的子问题&#x…...

    2024/4/27 17:41:54
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. 布隆过滤器是如何避免缓存穿透的?

    布隆过滤器&#xff08;Bloom filter&#xff09;是一种空间效率极高的概率型数据结构&#xff0c;用于判断一个元素是否在一个集合中。它的原理是当一个元素被加入集合时&#xff0c;通过几个不同的Hash函数将元素映射成一个位数组中的多个位置&#xff0c;再次查询时如果位数…...

    2024/4/13 9:55:48
  4. C++ 【原型模式】

    简单介绍 原型模式是一种创建型设计模式 | 它使你能够复制已有对象&#xff0c;客户端不需要知道要复制的对象是哪个类的实例&#xff0c;只需通过原型工厂获取该对象的副本。 以后需要更改具体的类或添加新的原型类&#xff0c;客户端代码无需改变&#xff0c;只需修改原型工…...

    2024/4/24 19:02:05
  5. promise.all方式使用

    romise.all( ).then( ) 处理多个异步任务&#xff0c;且所有的异步任务都得到结果时的情况。 比如&#xff1a;用户点击按钮&#xff0c;会弹出一个弹出对话框&#xff0c;对话框中有两部分数据呈现&#xff0c;这两部分数据分别是不同的后端接口获取的数据。 弹框弹出后的初…...

    2024/4/27 7:08:50
  6. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/4/26 18:09:39
  7. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/4/26 20:12:18
  8. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/4/26 23:05:52
  9. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/4/27 4:00:35
  10. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/4/25 18:39:22
  11. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/4/27 14:22:49
  12. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/4/26 21:56:58
  13. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/4/27 9:01:45
  14. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/4/26 16:00:35
  15. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/4/25 18:39:16
  16. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/4/25 18:39:16
  17. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/4/26 19:03:37
  18. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/4/26 22:01:59
  19. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/4/25 18:39:14
  20. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/4/26 23:04:58
  21. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/4/25 2:10:52
  22. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/4/25 18:39:00
  23. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/4/26 19:46:12
  24. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/4/27 11:43:08
  25. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/4/27 8:32:30
  26. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  27. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  28. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  29. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  30. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  31. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  32. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  33. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  34. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  35. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  36. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  37. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  38. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  39. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  40. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  41. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  42. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  43. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  44. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  45. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57