目录

  • 一、课程设计要求
  • 二、课程设计要求与题目
    • 2.1 课程设计要求
    • 2.2 课程设计题目
  • 三、实验方案分析与设计
    • 3.1 用户使用分析
    • 3.2 各功能所需模块分析
    • 3.3 完整电路图
    • 3.4 管脚锁定
  • 四、具体实现过程描述
    • 4.1 分频器
    • 4.2 秒计数器
    • 4.3 分计数器
    • 4.4 小时计数器
    • 4.5 闹钟设置器
    • 4.6闹钟判断器
    • 4.7 倒计时器
    • 4.8蜂鸣控制器
    • 4.9动态扫描显示器
    • 4.10 其他辅助模块
  • 五、结论实现效果
    • 5.1 各模块仿真波形效果
    • 5.2整体效果

一、课程设计要求

1、学会应用数字系统设计方法进行电路设计;
2、进一步提高quartus II软件的开发应用能力;
3、提高VHDL进行综合设计的能力;
4、培养学生书写综合实验报告的能力。

二、课程设计要求与题目

2.1 课程设计要求

1、设计平台:quartus II+HH-SOPC-EP1C12 EDA/SOPC实验开发平台
2、设计方法:利用VHDL代码和/或原理图方法,采用层次化的方法进行设计(至少二层结构)。(功能分解)
3、结果验证:在实验开发平台上下载,验证设计的正确性,模块也需要仿真验证,给出仿真波形。
4、设计报告: A4纸打印,统一封面,封面格式见附件,简单装订。

2.2 课程设计题目

题目:多功能数字钟的设计与实现
1.能进行正常的时、分、秒计时,分别用6个七段数码管动态扫描显示时、分、秒。时时-分分-秒秒
2.利用按键开关快速调整时间(校准):时、分
3.通过按键开关设定闹铃时间,到了设定时间发出闹铃提示音,提示音长度为1分钟
4.通过按键开关设定倒计时的时间,通过开关启动/暂停倒计时,倒计时为0时发出提示音,提示音长度为1分钟
5.整点报时:
在59分50、52、54、56、58秒时按500Hz频率报时
在59分60秒时用1KHz的频率作最后一声整点报时

三、实验方案分析与设计

3.1 用户使用分析

多功能数字钟需要两个输出模块,一个是动态扫描数字显示,一个是蜂鸣器。
在使用体验上而言,多功能数字钟的输入模块主要有功能选择、置入时间等。
具有基础功能的数字钟是多功能数字钟的“枢纽”。除了倒计时功能,其余功能都与基础数字钟是离不开的。

3.2 各功能所需模块分析

对于最基础的、能显示时间的数字钟功能,需要分频器、计数器、显示器模块。利用这三个模块,可以构成一个独立的、功能单一的数字钟。带调整时间功能的数字钟比起基础数字钟,引入了置数等输入。为了整点报时,我们引入了蜂鸣器模块,在计数器内部的相应状态下会有启动蜂鸣器的信号传给蜂鸣器。一个闹钟需要有调整时间、定时发出声响等功能,也需要一个比较器来比较当前时间是否和闹钟时间相等。倒计时模块相对独立,不需要基础数字钟的相关模块与功能。

3.3 完整电路图

将以上功能、模块集成整合后,我们便可以在quartus平台上做出完成的电路图。其中,有很大一部分模块、功能可以被有效地复用、集成。如各个功能下的动态扫描、蜂鸣器、置数等。所以,我们在设置输入端时,需要有几个输入端实现选择功能的作用。
在这里插入图片描述

3.4 管脚锁定

对于动态扫描显示模块,我们只需要正确地锁定位码和段码。管脚锁定图如下所示。sel是位码,seg是段码。
在这里插入图片描述

对于置数模块,我们需要4个输入作为8421BCD码输入,一个输入选择/退出置数模式,一个输入控制置入的是小时还是分钟,一个输入控制置入的是个位还是十位。
在这里插入图片描述

对于蜂鸣器模块,我们需要将蜂鸣器本身的输出引脚接到N6上。为了分清蜂鸣器准点报时、闹铃、倒计时的功能,我们设置了十二位LED灯显示模块上的输出。当报时、闹铃、倒计时使得蜂鸣器被触发时,相应的LED灯也会亮。A9的灯会在每个小时59分的50/52/54/56/58秒亮,同时蜂鸣器按500hz响。B9的灯会在每个小时0分0秒亮,同时蜂鸣器按1khz响。A10的灯会在倒计时结束时亮,亮一分钟,同时蜂鸣器响一分钟。B10的灯会在闹钟触发时点亮。
在这里插入图片描述
倒计时的使能、重置、置数可以独立设计,如图所示。
在这里插入图片描述
闹钟的置数功能的开关也需要单独设置
在这里插入图片描述
剩余的管脚锁定,从上到下依次为:蜂鸣器开关、1khz时钟信号、时钟的使能端、时钟的复位端。
在这里插入图片描述
以下是完整的引脚锁定图。
在这里插入图片描述

四、具体实现过程描述

4.1 分频器

分频器输入1khz的时钟信号,并输出成1hz的时钟信号和一个500hz的时钟信号。所以,我们设置两个内部信号,一个内部信号是值为0~999的整数,另一个内部信号的值只能是0和1。
每一个1khz时钟信号到来时,第一个内部信号的计数器+1,第二个内部信号的值翻转。
当第一个内部信号值在0-499之间时,对外输出0。当第一个内部信号值在500-999之间时,对外输出1。这个输出端输出的也是时钟信号,频率就是1hz。
第二个内部信号值为1时,对外输出1。第二个内部信号值为0时,对外输出0。这个输出端输出的也是时钟信号,频率是500hz。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dev is
port(clk_1khz:in std_logic;--输入为1khz的时钟信号clk_1hz:out std_logic;--输出为1hz的时钟信号,用于计数clk_500hz:out std_logic);--输出为500hz的时钟信号,用于报时
end dev;architecture beha of dev is
signal q1:integer range 0 to 999;--内部信号
signal q2:std_logic;--内部信号
beginprocess(clk_1khz) beginif clk_1khz'event and clk_1khz='1' thenif q1<500 then q1<=q1+1;clk_1hz<='0';--接收到1khz信号后计数,在0-499内输出0elsif q1<999 then q1<=q1+1;clk_1hz<='1';else q1<=0;end if;if q2='0' then q2<='1';clk_500hz<='0';--接收到1khz信号后翻转并输出elsif q2='1' then q2<='0'; clk_500hz<='1';else q2<='0';end if;end if;end process;
end;

4.2 秒计数器

秒计数器与纯粹的60进制计数器略有区别。为了在每个小时的59分的50、52、54、56、58秒能报时,触发蜂鸣器的响声,所以在检测到相应的秒的值时需要给出一个输出信号alarm。其余信号如1hz的时钟信号、reset、使能端、数据输出、进位与60进制计数器相同。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_min is
port(clk,rst,en:in std_logic;cc:buffer std_logic_vector(7 downto 0);co:out std_logic;alarm:out std_logic--让蜂鸣器输出
);
end count_min;architecture one of count_min is
begin
process(clk,rst)variable mc1,mc0:std_logic_vector(3 downto 0);beginif rst='1' then --将秒的十位和各位都置成0mc1:=(others=>'0');mc0:=(others=>'0');elsif clk'event and clk='1' thenif en='1' then--使能端为1,正常计数。否则,保持mc0:=mc0+1;co<='0';alarm<='0';if mc0="1010" then--个位是10,则十位进位mc1:=mc1+1;mc0:="0000";end if;if mc1="0101" and mc0="0000" then alarm<='1'; end if;--50秒if mc1="0101" and mc0="0010" then alarm<='1'; end if;--52秒if mc1="0101" and mc0="0100" then alarm<='1'; end if;--54秒if mc1="0101" and mc0="0110" then alarm<='1'; end if;--56秒if mc1="0101" and mc0="1000" then alarm<='1'; end if;--58秒if mc1="0101" and mc0="1001" then--到59秒,给分钟计数器发一个使能信号co<='1';end if;if mc1="0110" and mc0="0000" then--到60秒,则重置成0秒alarm<='0';mc1:="0000";mc0:="0000";end if;else co<='0';	end if;end if;cc<=mc1&mc0;
end process;
end one;

4.3 分计数器

分计数器与纯粹的60进制计数器也略有区别。为了在每个小时的59分的相应秒数按500hz报时,在分钟的十位数为5且个位数为9时输出一个alarm信号。在每个小时的59分59秒,输出一个进位信号。这个进位信号不仅能触发小时计数器,而且能触发蜂鸣器的1khz报时。
置数时,有一个输入信号控制我们置的是十位还是个位。置数时,还有一个输入信号是4位的8421BCD码。置数功能自带对输入的有效性作检测。在个位,若检测到BCD码值为“1010”“1111”的无效值,则视为置入了9.在十位,若检测到BCD码值为“0110”“1111”的无效值,则视为置入了5,因为秒的十位数不能是6只能是5。
其余信号如reset信号、使能信号、时钟信号、数据输出,都与60进制计数器相同。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_h is
port(clk,rst,en,ld:in std_logic;alarmhigh:out std_logic;--报时输出cc:buffer std_logic_vector(7 downto 0);co:out std_logic;   ledagnum:in std_logic_vector(3 downto 0);--置入的8421BCD码ledagpos:in std_logic--置数选择置十位还是置个位
);
end count_h;architecture one of count_h is
begin
process(clk,rst,ld)variable mc1,mc0:std_logic_vector(3 downto 0);beginif rst='1' then --复位信号,将分钟重置成0mc1:=(others=>'0');mc0:=(others=>'0');elsif clk'event and clk='1' thenif ld='1' then--置数if(ledagpos='1') thenif(ledagnum>="0110") then--置十位时,若置入大于6的数,则置5mc1:="0101";elsemc1:=ledagnum;--置入0~5的数,则正常置入end if;elsif(ledagpos='0') thenif(ledagnum>="1010") then--置个位时,若置入大于9的数,则置9mc0:="1001";elsemc0:=ledagnum;--正常置入end if;end if;elsif en='1' thenmc0:=mc0+1;co<='0';alarmhigh<='0';if mc0="1010" then--个位发生进位mc1:=mc1+1;mc0:="0000";end if;if mc1="0101" and mc0="1001" thenalarmhigh<='1';--到59分,则放出整点报时信号end if;if mc1="0110" and mc0="0000" then--到60分,则置为0分,进位,使小时计数mc1:="0000";mc0:="0000";alarmhigh<='0';co<='1';end if;else co<='0';	end if;end if;cc<=mc1&mc0;
end process;
end one;

4.4 小时计数器

小时计数器与纯粹的24进制计数器也略有区别。区别主要在置数上。置数时,有一个输入信号控制置的是十位还是个位。若置十位,则不能置入大于2的数。若置个位数,则不能大于9的数。在十位是2的情况下,也不能置入大于3的数,因为24以上的小时的值是不被允许的。
其余信号如reset信号、使能信号、时钟信号、数据输出,都与24进制计数器相同。

library ieee;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.ALL;entity count_24 isport(clk,en,rst:in std_logic;cc:out std_logic_vector(7 downto 0);ledagnum:in std_logic_vector(3 downto 0);ledagpos:in std_logic;ld:in std_logic;co:out std_logic);
end count_24;architecture one of count_24 isbeginprocess(clk,rst,ld)variable mc1,mc0:std_logic_vector(3 downto 0):="0000";beginif rst='1' then --复位,将小时和分钟都置为0mc1:=(others=>'0');mc0:=(others=>'0');elsif clk'event and clk='1'thenif ld='1' thenif(ledagpos='1') thenif(ledagnum>"0010") then--置数,小时的十位数大于2时视为置入2mc1:="0010";elsemc1:=ledagnum;end if;elsif(ledagpos='0') thenif(mc1="0010" and mc0>"0011") then--在20多小时,小时的个位数大于3时视为23时,个位置入3mc0:="0011";elsif(mc0>="1010") thenmc0:="1001";--bcd码值大于十时视为置入9elsemc0:=ledagnum;end if;end if;elsif en='1' thenmc0:=mc0+1;if mc0="1010"then--在10小时、20小时mc1:=mc1+1;mc0:="0000";end if;if (mc1="0010")and(mc0="0100")then--在23小时,下一次就进位mc0:="0000";mc1:="0000";co<='1';else co<='0';end if;end if;end if;cc<=mc1&mc0;end process;
end one;

4.5 闹钟设置器

闹钟设置器有时钟端clk,使能端en,置数端ld。输出端是两位8421BCD码。当使能端为0时,整个闹钟模块关闭。当使能端开启时,闹钟模块开启。当置数端为1时,闹钟会根据ledagpos信号选择置入十位还是个位。ledagnum为一位8421BCD码,为置入的数,输入无效时视为置入9。小时和分钟的值都需要一个闹钟设置器。闹钟设置器寄存了闹钟设定的时间。

library ieee;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.ALL;entity alarmsetter_m isport(clk,en:in std_logic;cc:out std_logic_vector(7 downto 0);ledagnum:in std_logic_vector(3 downto 0);ledagpos:in std_logic;ld:in std_logic);
end alarmsetter_m;architecture one of alarmsetter_m isbeginprocess(clk,ld)variable mc1,mc0:std_logic_vector(3 downto 0):="0000";begin		if clk'event and clk='1'thenif en='0' thenif ld='1' then--置数if(ledagpos='1') thenif(ledagnum>"0101") then--分钟的十位,最多只能置5mc1:="0101";elsemc1:=ledagnum;end if;elsif(ledagpos='0') thenif(mc0>="1010") then--个位,只能置0~9mc0:="1001";elsemc0:=ledagnum;end if;end if;end if;end if;end if;cc<=mc1&mc0;end process;
end one;

4.6闹钟判断器

输入端为当前的小时数和当前的分钟数、闹钟设定的小时数和闹钟设定的分钟数。若它们对应相等,则对蜂鸣器输入信号。

library ieee;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.ALL;entity alarmjudger isport(clk:in std_logic;htime:in std_logic_vector(7 downto 0);--当前小时halarm:in std_logic_vector(7 downto 0);--闹钟小时mtime:in std_logic_vector(7 downto 0);--当前分钟malarm:in std_logic_vector(7 downto 0);--闹钟分钟alarmon:out std_logic);--输出
end alarmjudger;architecture one of alarmjudger isbeginprocess(clk)begin		if clk'event and clk='1'thenif htime=halarm and mtime=malarm then--判断两两相等alarmon<='1';else alarmon<='0';end if;end if;end process;
end one;

4.7 倒计时器

输入端有时钟、复位、使能、置数等,输出有两个8421BCD码表示的秒数、蜂鸣器开启信号。在最后的01秒,输出蜂鸣器开启的信号,以便在下一个时钟信号到来时蜂鸣器发出声音。设置了内部变量,使得倒计时计到0时开始计数,能从0计到60,能够控制蜂鸣器响的时间:60秒。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity countdown is
port(clk,rst,en,ld:in std_logic;--时钟、复位、使能、置数alarmout:out std_logic;--蜂鸣器开启ledagnum:in std_logic_vector(3 downto 0);--设置置入的数ledagpos:in std_logic;--设置置入十位还是个位sout:out std_logic_vector(7 downto 0)--输出当前倒计时
);
end countdown;architecture one of countdown is
begin
process(clk,rst,ld)variable mc10,mc1:std_logic_vector(3 downto 0);variable q1:integer range 0 to 100;--内部变量,beginif rst='1' then --复位,复位成99秒mc10:="1001";mc1:="1001";q1:=0;alarmout<='0';elsif clk'event and clk='1' thenif ld='1' thenif ledagpos='1' then--置十位mc10:=ledagnum;end if;if ledagpos='0' then--置个位mc1:=ledagnum;end if;end if;if ld='0' thenif en='1' thenif (mc1=1 and mc10=0) then--在最后01秒alarmout<='1';mc1:=mc1-1;
q1:=0;elsif mc1=0 thenif mc10=0 then--在0秒,倒计时完成时q1:=q1+1;--计数器,每秒+1,在计了60秒前输出蜂鸣器信号if q1<59 thenalarmout<='1';elseq1:=61;alarmout<='0';end if;	else mc10:=mc10-1;mc1:="1001";--在十的倍数秒end if;elsemc1:=mc1-1;-在十的倍数秒alarmout<='0';end if;	end if;else alarmout<='0';end if;end if;	sout<=mc10&mc1;		
end process;
end one;

4.8蜂鸣控制器

蜂鸣控制器的输出端直接连接着蜂鸣器,输出一个频率信号使得蜂鸣器按这个频率发出声响。在每个小时的59分50/52/54/56/58秒,按500hz发出声响。在每个小时的0分0秒,按1khz发出声响。在闹钟设定的时间,按1khz发出声响。在倒计时结束时,按500hz发出声响。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xiang is
port(clk_500:in std_logic;clk:in std_logic;en:in std_logic;alarmlow,alarmhigh,alarmco:in std_logic;alarmbyalarm:in std_logic;alarmbycountdown:in std_logic;speaker:out std_logic);
end xiang;	
architecture sss_arc of xiang isbeginprocess(clk)beginif en='1' thenif (alarmlow='1' and alarmhigh='1' and alarmco='0') thenspeaker<=clk_500;end if;if alarmco='1' thenspeaker<=clk;end if;if alarmbyalarm='1' thenspeaker<=clk;end if;if alarmbycountdown='1' thenspeaker<=clk_500;end if;end if;end process;
end;

4.9动态扫描显示器

动态扫描显示器有多个输入,包括时钟信号、小时值、分钟值、秒值。是否正在置数、正在置哪一位数、是否正在置闹钟、是否想显示闹钟、是否在倒计时、显示倒计时等判断都被集成到了动态扫描显示器中。输出有位码和段码,在1khz的时钟信号下,能够正确的输出对应数字。
若当前正在置数,我们则可以设置一个计数器范围为01000,当该变量值为0500时,对应位置的段码数值为无效值。当该变量值为500~999时,该对应位置的值为当前置入的数值。利用这种做法,我们可以做到:当前正在设置的设置的位置的数在闪烁。
正常情况下,动态扫描显示模块会显示当前时间。当我们调整闹钟时,拨动开关,动态扫描模块显示闹钟时间。当我们调整倒计时时,拨动开关,动态扫描模块显示倒计时时间。闹钟的显示优先级高于倒计时,倒计时显示优先级高于正常时间。
将8位8421BCD码传送至7段显示译码器,然后动态地显示在相应的显示器上。显示器显示的内容受段码和位码的控制,这就是动态扫描显示的原理。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity seltime is
port(clk:in std_logic;h,m,s:in std_logic_vector(7 downto 0);sel:out std_logic_vector(2 downto 0);seg:out std_logic_vector(6 downto 0);isledagging:in std_logic;ledagpos:in std_logic_vector(2 downto 0);isledaggingalarm:in std_logic;alarmh,alarmm:in std_logic_vector(7 downto 0);iscountingdown:in std_logic;countdowns:in std_logic_vector(7 downto 0));
end seltime;
architecture beha of seltime issignal scan_count:std_logic_vector(2 downto 0);signal dat:std_logic_vector(3 downto 0);signal q1:integer range 0 to 999;beginscan:process(clk)beginif clk'event and clk='1' thenscan_count<=scan_count+1;q1<=q1+1;end if;sel<=scan_count;if isledaggingalarm='1' thencase scan_count iswhen "101"=>dat<=alarmm(3 downto 0);when "100"=>dat<=alarmm(7 downto 4);when "011"=>dat<=alarmh(3 downto 0);when "010"=>dat<=alarmh(7 downto 4);when others=>dat<="1100";end case;elsif iscountingdown='1' and isledagging='0' thencase scan_count iswhen "111"=>dat<=countdowns(3 downto 0);when "110"=>dat<=countdowns(7 downto 4);when others=>dat<="1100";end case;elsecase scan_count iswhen "111"=>dat<=s(3 downto 0);when "110"=>dat<=s(7 downto 4);when "101"=>dat<=m(3 downto 0);when "100"=>dat<=m(7 downto 4);when "011"=>dat<=h(3 downto 0);when "010"=>dat<=h(7 downto 4);when others=>dat<="1100";end case;end if;	end process scan;decode:process(scan_count) beginif (isledagging='1' and scan_count=ledagpos and q1<500) thenseg<="0000000";elsecase dat iswhen"0000"=>seg<="0111111";when"0001"=>seg<="0000110";when"0010"=>seg<="1011011";when"0011"=>seg<="1001111";when"0100"=>seg<="1100110";when"0101"=>seg<="1101101";when"0110"=>seg<="1111101";when"0111"=>seg<="0000111";when"1000"=>seg<="1111111";when"1001"=>seg<="1101111";when others=>seg<="0000000";end case;end if;end process decode;
end beha;

4.10 其他辅助模块

地址位码解释模块。我们在输入时,选择位置是按如下办法:一个开关选择调整小时还是分钟,一个开关选择调整十位还是个位。利用这一位码解释模块,我们便能将我们置数的位置解析成位码传给动态扫描显示模块。

library ieee;
use ieee.std_logic_1164.all;
entity flasher isport(inh,intt:in std_logic;dataout:out std_logic_vector(2 downto 0));
end flasher;
architecture one of flasher isbeginprocess(inh,intt)beginif(inh='1' and intt='1') then--小时的十位dataout<="010";end if;	if(inh='1' and intt='0') then--小时的个位dataout<="011";end if;	if(inh='0' and intt='1') then--分钟的十位dataout<="100";end if;if(inh='0' and intt='0') then--分钟的个位dataout<="101";end if;end process;end;

输入合成模块,将4个二进制输入合成为一个8421BCD码

library ieee;
use ieee.std_logic_1164.all;
entity connector isport(in3,in2,in1,in0:in std_logic;dataout:out std_logic_vector(3 downto 0));
end connector;
architecture one of connector isbegindataout<=in3&in2&in1&in0;--将4位二进制数合成BCD码end;	

分配器,选择当前是在调整小时还是在调整分钟。

library ieee;
use ieee.std_logic_1164.all;
entity ledagger isport(data:in std_logic_vector(3 downto 0);posi:in std_logic;ison:in std_logic;datah:out std_logic_vector(3 downto 0);datam:out std_logic_vector(3 downto 0));end ledagger;
architecture one of ledagger isbeginprocess(ison,posi)beginif (ison='1' and posi='1') then--调整小时datah<=data;datam<="0000";elsif (ison='1' and posi='0') then--调整分钟datam<=data;datah<="0000";elsedatam<="0000";datah<="0000";end if;end process;
end one;

五、结论实现效果

5.1 各模块仿真波形效果

对分频器模块进行波形仿真,我们可以看到:1khz的频率被分成了500hz的频率和1hz的频率。
在这里插入图片描述
对秒计数器模块进行波形仿真,我们可以看到:每分钟50/52/54/56/58秒输出报时信号,每分钟59秒给分计数器输出进位信号。
在这里插入图片描述
对分计数器进行波形仿真,我们可以看到:每小时59分输出报时信号,以与秒计数器的50/52/54/56/58秒输出500hz的蜂鸣声。每小时60分输出进位信号,以让小时计数器计数,并对蜂鸣器给出报时信号。
在这里插入图片描述
对小时计数器进行波形仿真。每记到23,便复位为0,并进位输出,表示一天已经过去了。
在这里插入图片描述
对闹钟判断器进行波形仿真。当闹钟设定时间与当前时间的小时与分都对应着相等时,输出信号1.
在这里插入图片描述
对倒计时器进行波形仿真。在秒数不为0时,正常往下递减秒数。在秒数为0时,对输出信号1,持续60秒。该信号连接蜂鸣器。如图,首先将倒计时置为11.在11秒后,输出信号1持续了60秒。这对应了倒计时结束时蜂鸣器响一分钟的功能。
在这里插入图片描述
对蜂鸣器进行波形仿真。可以看到蜂鸣器频率与其输入频率之间的关系。
在这里插入图片描述

5.2整体效果

在将编译完成的QuartusII工程文件下载到机箱后,多功能数字钟便开启运行。
将K1开关拨动到1,就可以看到电子钟开始正常的计时运行。点击S1按钮,便可以将时间重置成从0分0秒开始。
将K2开关拨动到1,就可以开启蜂鸣器。
在每个小时的59分的50/52/54/56/58秒,蜂鸣器按500hz响。在每个小时的0分0秒,蜂鸣器按1khz响。这就是整点报时功能。
将K3开关拨动到1,就开始了调整时间模式。K4开关可以控制用户调整的是小时还是分钟,K5开关可以控制用户调整的是个位数还是十位数。在调整时,相应位置的数字闪烁。K6/K7/K8/K9是从高到低的8421BCD码,通过K6~K9所表示的十进制数可以调节对应位置的时间。
K10开关可以显示闹钟。默认的闹钟时间是22:00。但是,将K3开关拨动到1时K10开关也拨动到1,就可以调整闹钟。调整闹钟的值的办法与上一段调整时间值的办法是一致的。当闹钟设置的时间就是当前时间时,响铃一分钟。
K11开关可以显示倒计时。默认从99秒开始倒计时。将K12开关拨动到1,就可以调整倒计时的时间,调整办法与上文一致。按S2按钮可以重置倒计时。当倒计时为0时,响铃一分钟。

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. 解压软件哪个好用?

    在实际的工作中&#xff0c;解压缩软件哪款比较实用&#xff0c;相信很多人都搜索过也同样收集过。今天我就介绍一些个人认为比较实用的&#xff0c;当然有些解压缩软件外观简洁并且优美。 简洁的外观&#xff0c;实用性高&#xff0c;往往受众群体还可以。那么&#xff0c;简…...

    2024/4/18 22:57:24
  2. 2021年制冷与空调设备安装修理考试题库及制冷与空调设备安装修理考试总结

    题库来源&#xff1a;安全生产模拟考试一点通公众号小程序 安全生产模拟考试一点通&#xff1a;制冷与空调设备安装修理考试题库是安全生产模拟考试一点通总题库中生成的一套制冷与空调设备安装修理考试总结&#xff0c;安全生产模拟考试一点通上制冷与空调设备安装修理作业手…...

    2024/4/24 20:25:42
  3. SpringBoot中Redis报错:NOAUTH Authentication required.; nested exception is redis.clients.jedis.exceptio

    SpringBoot中Redis报错&#xff1a;NOAUTH Authentication required.; nested exception is redis.clients.jedis.exceptions.JedisDataException: NOAUTH Authentication required. 1、复现 org.springframework.dao.InvalidDataAccessApiUsageException: NOAUTH Authenticat…...

    2024/4/14 18:41:04
  4. Java反射总结

    目录 一、概述 二、反射与封装性 三、java.lang.Class的类的理解 1.获取Class的实例方式 2.Class不仅可以表示类&#xff0c;还可以表示其他它所学的类型。 四、通过反射创建对应的运行时类的对象。 1.newInstance()&#xff1b;调用此方法&#xff0c;创建对应的运行时…...

    2024/4/18 21:42:30
  5. 服务器Centos7.9安装NVIDIA3090显卡驱动

    1.安装依赖环境、查看内核版本 查看内核版本 [rootlocalhost ~]# uname -r [rootlocalhost ~]# yum list | grep kernel-devel安装依赖环境 yum -y install gcc dkms yum install kernel-devel kernel-doc kernel-headers gcc\* glibc\* glibc-\*2.禁用nouveau 查看命令 …...

    2024/4/18 13:48:01
  6. 高性能服务器编程-信号

    信号是由用户、系统或者进程发送给目标进程的信息&#xff0c;以通知目标进程某个状态的改变或系统异常。Linux 信号可由如下条件产生&#xff1a; 口对于前台进程&#xff0c;用户可以通过输人特殊的终端字符来给它发送信号。比如输入CtrlC通常会给进程发送一个中断信号。系统…...

    2024/4/5 5:23:06
  7. Redis的持久化

    持久化的意义 因为Redis是内存数据库&#xff0c;他将自己的数据库状态储存在内存里面&#xff0c;所以如果不想办法将储存在内存中的数据库状态保存到磁盘里面&#xff0c;那么一旦服务器进程退出&#xff0c;服务器中的数据库也会消失不见。 Redis的持久化有两种&#xff1…...

    2024/4/14 18:41:29
  8. 自已整理了一上Linux中Curl命令用法

    在Linux中curl是一个利用URL规则在命令行下工作的文件传输工具&#xff0c;可以说是一款很强大的http命令行工具。它支持文件的上传和下载&#xff0c;是综合传输工具&#xff0c;但按传统&#xff0c;习惯称url为下载工具。 语法 1 # curl [option] [url] 常见参数 1 2 3 4 5…...

    2024/4/18 5:22:07
  9. CIFAR-10 分类 pytorch

    前言 上一篇博客挖了个坑&#xff0c;准备使用cifar-10来进行演示。 再加上加载预训练模型ResNet, 其实Pytorch的torchvision.models没有参数可以设置不要模型最后的分类头&#xff0c;个人感觉有点不方便&#xff0c; 之前用keras写是有的。 代码 import torch import torch…...

    2024/4/21 22:50:37
  10. MySQL架构设计——数据一致性设计

    摘要 高可用的三大架构设计包括了基于数据层的高可用、基于业务层的高可用&#xff0c;以及融合的高可用架构设计。在这些架构中&#xff0c;仅仅解决了业务连续性的问题&#xff1a;也就是当服务器因为各种原因&#xff0c;发生宕机&#xff0c;导致MySQL 数据库不可用之后&a…...

    2024/4/21 16:58:03
  11. Yolact的训练、知识

    Yolact的训练、知识一、训练4.相关链接一、训练 4.相关链接 &#xff08;1&#xff09; https://blog.csdn.net/qq_44166630/article/details/120460375?spm1001.2014.3001.5501 &#xff08;2&#xff09; https://blog.csdn.net/qq_44166630/article/details/121053560 &a…...

    2024/4/14 18:41:09
  12. 自学golang【3.4go语言的函数和指针】定义一个函数返回一个或多个值,go语言的指针,指针不能运算,go语言参数传递只有值传递,通过指针实现地址传递,函数返回2个值,如何只接受一个值

    目录 1.go语言的函数 1.1定义一个函数返回一个值 代码部分&#xff1a; 运行截图&#xff1a;​ 1.2 定义一个函数返回多个值 代码部分&#xff1a; 函数返回2个值&#xff0c;如何只接受一个值&#xff1f; 运行截图&#xff1a;​ 函数代码汇总&#xff1a; 2.go语言…...

    2024/4/14 18:41:14
  13. JavaWeb——Servlet介绍

    目录 1 如何开发一个Servlet 1.1 流程&#xff1a; 1.2 访问的过程&#xff1a; 2 Servlet的映射路径 3 servlet缺省路径 4 Sevlet的生命周期&#xff08;重点&#xff09; 4.1 引入 4.2 Servlet重要的四个生命周期方法 5 Servlet的自动加载 6 Servlet的多线程并发问…...

    2024/4/19 16:40:59
  14. UVM学习二:工厂机制

    1. factory的意义 为了更方便替换验证环境中的实例或已注册的类型&#xff0c;同时工厂的注册机制带来配置的灵活性。 2. factory的步骤&#xff1a; 注册&#xff1a;将类注册到工厂 构建&#xff1a;对象的构建 覆盖 注册环境组件、创建组件、覆盖组件类型 3. 常用方法 …...

    2024/4/7 3:50:47
  15. 【优雅代码】16-guava布隆过滤源码解析

    【优雅代码】16-guava布隆过滤源码解析 欢迎关注b站账号/公众号【六边形战士夏宁】&#xff0c;一个要把各项指标拉满的男人。该文章已在github目录收录。 屏幕前的大帅比和大漂亮如果有帮助到你的话请顺手点个赞、加个收藏这对我真的很重要。别下次一定了&#xff0c;都不关注…...

    2024/4/18 22:42:58
  16. [KuangStudy]大前端技能入门

    来源 课程-KuangStudy 学相伴 - 大前端进阶 - 篇章学习-KuangStudy-文章 大前端技能最通俗易懂的讲解必看&#xff01; 最快的时间带你了解NodeJS、Npm、Es6、Babel、Webpack、模块化使用&#xff0c;从此看前端代码再无惧怕&#xff01; 1、前端开发和前端开发工具 2、Nod…...

    2024/4/7 3:50:45
  17. Spring-Boot参数校验规范 Bean Validation ; Hibernate Validator

    Spring-Boot引入版本依赖 <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot-starter-validation</artifactId> </dependency>注意&#xff0c;高版本的Spring-boot&#xff0c;不需要再单独引入validati…...

    2024/4/18 16:35:18
  18. pytest学习第一天

    pytest学习第一天pytest常用操作一般命名规则运行方式参数详解改变测试执行顺序跳过用例分组执行pytest常用操作 该文章用于记录学习pytest的历程&#xff0c;结合B站学习结合&#xff0c;有什么错误&#xff0c;希望您能够指出。若有侵权的部分&#xff0c;请私信&#xff01…...

    2024/4/14 18:41:24
  19. 0115嵌入式学习2

    敲重点&#xff1a;独家秘方 梨子&#xff0b;川贝冰糖 蒸&#xff0c;吃&#xff0c;治慢性咽喉炎 文件目录处理 ls 显示文件 -a 包括隐藏文件一起显示 -l 使用长格式列出文件及目录信息 S &#xff08;按照文件大小排序&#xff09; t &#xff08;按照时间排序&…...

    2024/4/17 5:39:10
  20. MySQL-5函数

    MySQL-5函数 文章目录MySQL-5函数概述分类聚合函数概述格式操作创建数据库和表向表中插入值实验数学函数字符串函数日期函数控制流函数if逻辑判断语句case when语句窗口函数介绍分类窗口函数语法结构序号函数格式操作开窗聚合函数-SUM&#xff0c;AVG&#xff0c;MIN&#xff0…...

    2024/4/5 5:23:16

最新文章

  1. 大型企业高效内部协同,向日葵SDK私有化部署案例解析

    大型集团企业的内部&#xff0c;沟通协作的重要性不言而喻&#xff0c;我们时常能听到关于所谓“大企业病”的吐槽&#xff0c;多数也是源于企业内部沟通协作效率低&#xff0c;进而导致内耗加重。甚至我们可以这么说&#xff0c;越是发展壮大的集团企业&#xff0c;其内部的沟…...

    2024/4/26 12:45:28
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. Python中大的一把锁

    今天可以来讲解下GIL是个什么了。 GIL为什么是Python中大的一把锁&#xff1f; GIL是Global Interpreter Lock的缩写&#xff0c;翻译过来就是全局解释器锁。 从字面上去理解&#xff0c;它就是锁在解释器头上的一把锁&#xff0c;它使Python代码运行变得有序。 假如有一段…...

    2024/4/23 13:35:28
  4. 解析大语言模型训练三阶段

    大语言模型的训练过程一般包括3个阶段&#xff1a;预训练&#xff08;Pre-training&#xff09;、SFT&#xff08;有监督的微调&#xff0c;Supervised-Finetuning&#xff09;以及RLHF&#xff08;基于人类反馈的强化学习&#xff0c;Reinforcement Learning from Human Feedb…...

    2024/4/23 6:25:26
  5. Stable Diffusion 本地部署教程

    Stable Diffusion 是一个开源的本地部署的软件&#xff0c;用于在本地网络中进行消息传递和同步。下面是 Stable Diffusion 的本地部署教程&#xff1a; 安装稳定扩散软件&#xff1a;首先&#xff0c;您需要从 Stable Diffusion 的官方网站或 GitHub 页面上下载并安装 Stable …...

    2024/4/23 6:37:02
  6. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/4/25 11:51:20
  7. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/4/25 18:39:24
  8. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/4/25 18:38:39
  9. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/4/25 18:39:23
  10. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/4/25 18:39:22
  11. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/4/25 18:39:22
  12. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/4/25 18:39:20
  13. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/4/25 16:48:44
  14. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/4/25 13:39:44
  15. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/4/25 18:39:16
  16. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/4/25 18:39:16
  17. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/4/25 0:00:17
  18. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/4/25 4:19:21
  19. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/4/25 18:39:14
  20. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/4/25 18:39:12
  21. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/4/25 2:10:52
  22. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/4/25 18:39:00
  23. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/4/25 13:19:01
  24. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/4/25 18:38:58
  25. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/4/25 18:38:57
  26. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  27. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  28. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  29. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  30. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  31. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  32. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  33. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  34. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  35. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  36. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  37. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  38. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  39. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  40. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  41. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  42. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  43. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  44. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  45. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57