文章目录

    • 一、event
      • 1.1UVM中的event与SV中的event不同之处
      • 1.2 uvm_event类
      • 1.3 wait_trigger和wait_ptrigger的区别
      • 1.4 wait_trigger代码示例
    • 二、uvm_pool
      • 2.1 uvm_pool函数
      • 2.2 uvm_pool实例
      • 2.3 uvm_object_string_pool
    • 二、uvm_event_pool

一、event

1.1UVM中的event与SV中的event不同之处

UVM中的event与SV中event不同之处是,SV中主要是对同一个对象进行IPC,UVM是不局限于一个对象的,它还为了解决不同的component线程同步问题,还需保持UVM的封闭性,所以它建立了新的同步通信手段(uvm_event、uvm_event_pool和uvm_event_callback)

  • ->的触发:1)触发方式:event被->触发后,触发使用@等待该事件的对象;uvm_event通过trigger()来触发,触发使用wait_trigger()等待该事件的对象。2)再次等待事件触发,event只需再次用->触发,uvm_event需要通过reset()方法重置初始状态,再使用trigger()触发
  • 信息的携带:event无法携带信息。uvm_event可以通过trigger(T data = null)将伴随触发的数据对象都写入到该触发事件中,而等待该事件的对象可以通过方法wait_trigger_data(output T data)来获取事件触发时写入的数据对象;
  • 回调函数 event无法直接触发,uvm_event可以通过add_callback(uvm_event_callback cb bit append b =1)函数添加回调函数;
  • 获取等待进程数目 event无法直接获取,uvm_event可以通过get_num_waiters()来获取等待它的进程数目;

可以将event看成一个单例模式,不同component可以共享一个uvm_event,这不需要通过跨层次传递uvm_event的句柄来实现共享,这是不符合UVM的组件封闭的原则的。它是通过uvm_event_pool这个全局资源池来共享对象句柄的。

1.2 uvm_event类

FUNCTION说明
virtual function viod trigger( T data = null)触发事件,复位所有的等待进程。可以附带数据对象
virtual task wait_trigger()等待新的事件触发
virtual task wait_ptrigger()等待事件曾经触发
virtual function T wait_trigger_data()返回最后一次trigger时的数据
virtual task wait_trigger_data(ouput T data)等待事件触发,并返回数据
virtual task wait_ptrigger_data(ouput T data)等待曾经事件触发,并返回数据
virtual task wait_on(bit delta = 0)等待事件第一次激活
virtual task wait_off(bit delta = 0)如果事件已经被触发到on状态,等待被reset到off状态
virtual function bit is_on()事件当前是否为on状态
virtual function bit is_off()事件当前是否为off状态
virtual function time get_trigger_time()事件最后被触发的时间,没有触发或者reset,返回0
virtual function int get_num_waiters()等待进程个数

1.3 wait_trigger和wait_ptrigger的区别

//=============wait_trigger========
virtual task wait_trigger();num_waiters++;@m_event;
endtask
//=============wait_ptrigger========
virtual task wait_ptrigger();if(m_event.triggered)return;num_waiters++;@m_event;
endtask
  • 关于SV的event,如果触发发生在@前则@是无法探测到,这就要求@一直需要提前挂着等待,如果没有捕捉到就会一直阻塞,在trigger的情况中,整个timeslot都可以探测到,trigger属性是一种能够查询事件是否已经被触发,而不是只检查当前时刻,线程只等待结果,不是在@出阻塞;
  • 如果@m_event->m_event同时发生或是之前,wait_trigger就等不到event.这里SV多了一种m_event.triggered可以实现在这个只要是再这个time-slot中trigger过了就会执行下面的语句;
  • wait_ptrigger是只要曾经trigger过了,就会执行;
  • wait_trigger是需要新出现一个event来trigger才会往下执行;

1.4 wait_trigger代码示例

module uvm_events_ex;//declaring uvm_event ev_1uvm_event             ev_1; initial begin//Creating an eventev_1 = new(); fork//process-1, triggers the eventbegin#40;$display($time," Triggering The Event");ev_1.trigger;end//process-2, wait for the event to triggerbegin$display($time," Waiting for the Event to trigger");ev_1.wait_on;$display($time," Event is on");#100;$display($time," Event is on");endjoinend
endmodule

结果

0 Waiting for the Event to trigger
40 Triggering The Event
40 Event is on
140 Event is on

二、uvm_pool

uvm_pool的定义,里面有键值对,索引key和值T,为了能够与其他的component或者是object进行通信而做的一个共享资源池,这里的有点像SV里面的关联数组。

class uvm_pool#(type KEY = int,T = uvm_void)extends uvm_object;00000

2.1 uvm_pool函数

函数说明
static function this_type get_global_pool返回或创建实例,容许验证环境中跨component共享items
static function T get_global(KEY key)根据key返回T值,若不存在,会在第一次调用时创建
virtual function T get(KEY key)根据key返回T值,若key不存在,返回T类型的默认值
virtual function void add(KEY key,T item)增加元素,如果存在则覆盖
virtual function int num()返回元素个数
virtual function void delete(KEY key)删除key索引以及对应的T值
virtual function int exists(KEY key)判断是否存在key值索引,存在为1,不存在为0

2.2 uvm_pool实例

如果是两个component之间可以通过config_db机制进行传递,如果是component和object之间就可以通过event_pool进行通信交互

//===================component=====================
class apb_driver extends uvm_driver#(apb_trans);`uvm_component_utils(apb_driver)virtual apb_interface vif;uvm_pool#(string,int)drv_pool;//1、建立uvm_pool资源池virtual protected task run_phase(uvm_phase phase);super.run_phase(phase);//event_pooldrv_pool = drv_pool.get_global_pool();//2、创建实例,然后共享itemdrv_pool.add("aa",10);//3、往资源池中增加元素drv_pool.add("bb",11);endtask...
endclass//=================object============================
class vseq_0 extends base_v_sequence;uvm_pool#(string,int)seq_pool;1、建立uvm_pool资源池virtual task body();string s_key;#200;seq_pool = seq_pool.get_global_pool();//2、创建实例,然后共享item`uvm_info("seq",$sformatf("seq_pool.aa        = %d",seq_pool.get("aa")),UVM_LOW);//3、往资源池中获取元素`uvm_info("seq",$sformatf("seq_pool.cc        = %d",seq_pool.get("cc")),UVM_LOW);`uvm_info("seq",$sformatf("seq_pool.bb.exites = %d",seq_pool.exites("bb")),UVM_LOW);`uvm_info("seq",$sformatf("seq_pool.dd.exites = %d",seq_pool.exites("dd")),UVM_LOW);`uvm_info("seq",$sformatf("seq_pool.num       = %d",seq_pool.num()),UVM_LOW);seq_pool.delete("bb");seq_pool.add("cc",12);seq_pool.add("dd",13);`uvm_info("seq",$sformatf("seq_pool.cc        = %d",seq_pool.get("cc")),UVM_LOW);seq_pool.first(s_key);`uvm_info("seq",$sformatf("seq_pool.first_key        = %s",s_key),UVM_LOW);seq_pool.last(s_key); `uvm_info("seq",$sformatf("seq_pool.last_key        = %s",s_key),UVM_LOW);s_key = "aa";seq_pool.next(s_key);`uvm_info("seq",$sformatf("seq_pool.next_key        = %s",s_key),UVM_LOW);endtask...
endclass
  • 1、建立uvm_pool资源池
  • 2、创建实例,然后共享item
  • 3、往资源池中增加/get元素
    在这里插入图片描述
  • 原来没有cc,然后就创建了一个cc,但是它的值是0;
  • 整体就像queue的作用

2.3 uvm_object_string_pool

class uvm_object_string_pool#(type T = uvm_object)extends uvm_pool#(string,T);

用来管理uvm_barrier和uvm_event对象,第一个参数是string,延申出来uvm_barrier和uvm_event ,他们的KEY都是string,但是value是别的可指定类型;

二、uvm_event_pool

//============component====
class apb_monitor extends uvm_monitor;`uvm_component_utils(apb_monitor)virtual apb_interface vif;uvm_analysis_port#(apb_trans) apb_mon_port;uvm_event mon_tr_e;uvm_event_pool event_pool;apb_trans tr;virtual task run_phase(uvm_phase phase);super.run_phase(phase);event_pool = uvm_event_pool::get_global_pool();mon_tr_e = event_pool.get("mon_tr_e“);tr = new();tr.addr = 3;#10;mon_tr_e.trigger(tr);...
//================object=========
class vseq_1 extends base_v_sequence;uvm_event_pool event_pool;uvm_event mon_tr_e;virtual task body();apb_trans tr;uvm_object obj;//第一种方法event_pool =uvm_event_pool::get_global_pool();mon_tr_e = event_pool.get("mon_tr_e");//返回eventmon_tr_e.wait_ptrigger_data(obj);//trigger的同时发送一个tr$cast(tr,obj);//通过接受后进行转换后`uvm_info("seq",$sformatf("tr.addr  = %d",tr.addr),UVM_LOW);//第二种方法uvm_event e;e = uvm_event_pool::get_global("mon_tr_e");...
  • 为了实现component和object之间的通信通过uvm_event_pool进行通信;

  • get_global_pool+get=get_global,两个加上实现同一种作用,所以这里有两种的方法实现这个共享event_pool和返回event的功能;

  • 第一种方法,先看是否有创建,monitor和sequence都进行get_event_pool的动作,但是谁先谁后还不知道。两边都叫mon_tr_e,两边都索引的都是同一个string,返回的都是mon_tr_e的句柄。都会返回一个uvm_event。在#10时刻时,monitor会在mon_tr_e会trigger,trigger的同时发送一个apb_trans类型的tr,然后sequence中使用wait_ptrigger_data(obj),等待到了#10时刻的trigger,拿到了uvm_object类型变量obj。但是tr的类型与obj类型不同,不能用apb_trans来接受这个tr类型,这里是用uvm_object类型obj来接受它,但是需要将apb_trans类型$cast转换成tr类型。这是因为wait_ptrigger_data(obj),这是规定的必须使用object类型去接收它,所以需要如果直接发送是会出错的。

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. 【优化求解】基于精英反向学习带扰动因子的混沌蚁狮算法(EOPCALO)求解单目标优化问题附matlab代码

    1 简介 针对蚁狮算法易陷入局部最优、收敛速度慢的缺点,本文提出了基于精英反向学习带扰动因子的混沌蚁狮算法。该算法首先通过对蚂蚁的随机游走公式引入扰动因子,有效提高了寻优精度,避免算法陷入局部最优,有效平衡了全局最优搜…...

    2024/4/20 17:05:30
  2. Pymysql和数据库2

    内容 1、成功运行学员管理系统案例 2、将学员管理系统案例中,原本存入DAT的数据,存入mysql数据 库,而且可以根据指令调出mysql数据库数据展示。 3、修改保存数据的函数,让其自动每秒保存数据到mysql数据库中。 (使用线…...

    2024/5/5 11:52:03
  3. 按照左右半区的方式重新组合单链表(Java)

    分享一个大牛的人工智能教程。零基础!通俗易懂!风趣幽默!希望你也加入到人工智能的队伍中来!请轻击http://www.captainbed.net package live.every.day.DataStructure.List;/*** 题目:* 按照左右半区的方式重新组合单…...

    2024/4/18 16:13:58
  4. 【django】报错解决:编码问题导致项目无法被访问(返回500)

    参考资料: 针对django2.2报错:UnicodeDecodeError: gbk codec cant decode byte 0xa6 in position 9737: ill.... - 人生如梦,亦如幻 - 博客园 (cnblogs.com) django项目启动时报 str object has no attribute decode - python黑客编程 - 博…...

    2024/4/14 18:56:41
  5. AndroidStudio —— 文字跑马灯

    效果 实现方法 方法一 (推荐) 继承TextView重写isFoucsed方法,直接返回true。目的是为了默认让TextView获取到焦点。 package com.example.jxd;import android.content.Context; import android.util.AttributeSet; import android.widget.T…...

    2024/4/18 14:29:22
  6. 面向对象程序设计——虚函数和多态性

    一、实验目的: 掌握动态联编的概念;掌握虚函数和纯虚函数的使用方法;掌握抽象类的使用。 二、实验内容: 在前面实验基础上,完成以下内容: (1)在基类Account中添加deposit、withd…...

    2024/5/5 12:00:09
  7. 前端入门css学习笔记(二十二)-----绝对定位的深入了解

    想要实现一个在父子关系中的的定位居中,怎么解决?在不同的定位中,层级选择是无效的。 还是那句话,子绝父相,然后再设定子元素的坐标,最后通过设置本身负值的一半就可以实现。...

    2024/4/14 18:57:32
  8. springboot session默认过期时间

    springboot session默认过期时间: 可以用 : int interval session.getMaxInactiveInterval();来获取session的超时时长 我这里是1800,也就是30分钟 如果需要修改可以: 在application.propertis文件中 server.servlet.session.timeout 3…...

    2024/5/5 14:02:21
  9. 基于Token的Web安全模块设计

    前言 最近在搞一个Web项目嘛,一开始用了Spring全家桶(SpringBoot SpringSecurity),然后发现SpringSecurity这个东西太臃肿了(可能是自己还没用透 )。 正好这个时候有一个微信公众号推文让我接触到了Token这…...

    2024/4/20 3:20:13
  10. phpstudy_pro 的 MySQL sql_mode 报错与解决方案

    一,软件版本与安装路径 1,软件版本:phpstudy_pro V8.1.1.2; 2,安装路径:D:\phpstudy_pro\; 3,配置文件:D:\phpstudy_pro\Extensions\MySQL5.7.26\my.ini 。 二&#…...

    2024/4/24 11:08:58
  11. win11提示无法在此配置怎么办 Windows11提示无法在此配置的解决方法

    最近win11正式推出的消息登上热搜,让很多朋友都想下载体验一下,结果在下载网络上的安装包安装后发现提示win11安装不了,win11安装出错了。下面我们看看win11安装提示无法在此配置的解决方法吧。更多Windows11安装教程可以参考小白一键网。 一…...

    2024/4/14 18:58:03
  12. 内存取证复现

    python vol.py -f easy_dump.img --profileWin7SP1x64 memdump -p 2616 -D ./但是提取出来的直接用strings是无法查看的需要通过一下命令 strings -e l 2626.dmp | grep flag继续寻找图片文件 python vol.py -f easy_dump.img --profileWin7SP1x64 filescan |grep -E jpg|gif…...

    2024/4/17 2:47:52
  13. C#linq查找对象

    C#的语法和java非常类似,但是他拥有非常多的语法糖,比如声明类的时候set/get的简单写法,而在java中需要特定的插件lombok支持,而使用lombok插件有一个很大的缺点,就是协同开发的人都要安装,否则程序就会报错…...

    2024/4/20 2:50:21
  14. SQL查询表结构

    SQL查询表结构 -- 显示表结构 DESCRIBE employees;显示出表中字段的详细信息。...

    2024/4/7 3:46:05
  15. 机器学习-聚类算法

    在世界的分析和描述中,类,或在概念上有意义的具有公共特性的对象组,扮演着重要的角色。的确,人类擅长将对象划分成组(聚类),并将特定的对象指派到这些组(分类)。例如&…...

    2024/4/14 18:57:32
  16. ubuntu16.04根目录扩容

    问题: ubuntu安装后根目录存储太小,扩展/dev/mapper/openstack--vg-root逻辑卷 步骤: 1、查看根分区大小 df -h 2、查看可用的磁盘分区 fdisk -l 3、 创建pv,将物理硬盘分区初始化为物理卷 pvcreate /dev/sdb3 4、查看v…...

    2024/4/14 18:57:32
  17. 人工智能专业基础-线性代数复习笔记(二)

    人工智能专业基础-线性代数复习笔记(二 矩阵 矩阵是整个线性代数的核心知识,每一章基本上都围绕矩阵的不同方面展开,那么矩阵实际上完成了什么事情呢?–向量在空间中的线性变换。 什么是矩阵? 在教材中通常定义为&…...

    2024/4/14 18:57:27
  18. PYTHON调用ZABBIX的API创建主机的维护任务和标签

    环境:PYTHON 2.7 import json import urllib2 from urllib2 import URLError import sys import time import datetime reload(sys) sys.setdefaultencoding(utf-8)class ZabbixTools:def __init__(self):self.url http://192.168.243.99//zabbix/api_jsonrpc.ph…...

    2024/4/14 18:57:27
  19. 机器学习-回归算法

    数值预测是对连续值函数进行预测的一类数据挖掘任务,通过构造相关的预测模型对连续数值进行预测。与分类问题相同之处在于数值预测也是有标号的学习问题,即监督学习问题,与之不同在于数值预测对连续的变量进行学习和预测,而分类对…...

    2024/4/20 10:28:28
  20. opencv查看代码运行时间的两种方法

    方法一 import timet1 time.time() # 需要测试的代码 t2 time.time() print("以上代码运行时长:", t2-t1)方法二 如果使用cv2时,建议使用第二种方法 import cv2e1 cv2.getTickCount() # 需要测试的代码 e2 cv2.getTickCount() time (e2…...

    2024/4/16 21:41:18

最新文章

  1. W801学习笔记十七:古诗学习应用——上

    硬件驱动以及软件架构大体上已经完成,尚存一些遗漏之处,后续会寻找合适的时机进行补充。自此章起,将正式迈入软件应用阶段,尤其是游戏开发领域。 关于第一个应用,此前已有一些构想: 其一,随机…...

    2024/5/5 15:18:34
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言,在此感激不尽。 权重和梯度的更新公式如下: w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. 《前端防坑》- JS基础 - 你觉得typeof nullValue === null 么?

    问题 JS原始类型有6种Undefined, Null, Number, String, Boolean, Symbol共6种。 在对原始类型使用typeof进行判断时, typeof stringValue string typeof numberValue number 如果一个变量(nullValue)的值为null,那么typeof nullValue "?" const u …...

    2024/5/4 16:36:43
  4. 【Easy云盘 | 第十三篇】分享模块(获取目录信息、获取文件信息、创建下载链接)

    文章目录 4.4.7获取目录信息4.4.8获取文件信息4.4.9创建下载链接 4.4.7获取目录信息 明天做 4.4.8获取文件信息 明天做 4.4.9创建下载链接 明天做...

    2024/5/5 8:46:28
  5. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/5/4 23:54:56
  6. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/5/4 23:54:56
  7. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/5/4 23:54:56
  8. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/5/4 23:55:17
  9. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/5/4 23:54:56
  10. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/5/4 23:55:05
  11. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/5/4 23:54:56
  12. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/5/4 23:55:16
  13. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/5/4 23:54:56
  14. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/5/4 18:20:48
  15. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/5/4 23:54:56
  16. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/5/4 23:55:17
  17. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/5/4 23:55:06
  18. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/5/4 23:54:56
  19. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/5/4 23:55:06
  20. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/5/5 8:13:33
  21. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/5/4 23:55:16
  22. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/5/4 23:54:58
  23. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/5/4 23:55:01
  24. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/5/4 23:54:56
  25. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下:1、长按电脑电源键直至关机,然后再按一次电源健重启电脑,按F8健进入安全模式2、安全模式下进入Windows系统桌面后,按住“winR”打开运行窗口,输入“services.msc”打开服务设置3、在服务界面,选中…...

    2022/11/19 21:17:18
  26. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像(每一幅图像的大小是564*564) f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  27. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面,在等待界面中我们需要等待操作结束才能关机,虽然这比较麻烦,但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  28. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows,请勿关闭计算机”的提示,要过很久才能进入系统,有的用户甚至几个小时也无法进入,下面就教大家这个问题的解决方法。第一种方法:我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  29. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题,电脑时发现开机屏幕显现“正在配置Windows Update,请勿关机”(如下图所示),而且还需求等大约5分钟才干进入系统。这是怎样回事呢?一切都是正常操作的,为什么开时机呈现“正…...

    2022/11/19 21:17:13
  30. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示,没过几秒后电脑自动重启,每次开机都这样无法进入系统,此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一:开机按下F8,在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  31. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况,就是电脑提示正在准备windows请勿关闭计算机,碰到这样的问题该怎么解决呢,现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法:1、2、依次…...

    2022/11/19 21:17:11
  32. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后,每次关机的时候桌面上都会显示一个“配置Windows Update的界面,提示请勿关闭计算机”,每次停留好几分钟才能正常关机,导致什么情况引起的呢?出现配置Windows Update…...

    2022/11/19 21:17:10
  33. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着,别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚,只能是考虑备份数据后重装系统了。解决来方案一:管理员运行cmd:net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  34. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题:电脑提示“配置Windows Update请勿关闭计算机”怎么办?win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢?一般的方…...

    2022/11/19 21:17:08
  35. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容,让我们赶快一起来看一下吧!关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  36. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  37. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了,具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面,长时间没反应,无法进入系统。这个问题原来帮其他同学也解决过,网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  38. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法,并在最后教给你1种保护系统安全的好方法,一起来看看!电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中,添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  39. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候,开启电脑发现电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机。。.这要怎么办呢?下面小编就带着大家一起看看吧!如果能够正常进入系统,建议您暂时移…...

    2022/11/19 21:17:02
  40. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容,让我们赶快一起来看一下吧!配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  41. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题,就是我们的win7系统在关机的时候,总是喜欢显示“准备配置windows,请勿关机”这样的一个页面,没有什么大碍,但是如果一直等着的话就要两个小时甚至更久都关不了机,非常…...

    2022/11/19 21:17:00
  42. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时,一般是您正对windows进行升级,但是这个要是长时间没有反应,我们不能再傻等下去了。可能是电脑出了别的问题了,来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  43. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况,当我们打开电脑之后,发现一直停留在一个界面:“配置Windows Update失败,还原更改请勿关闭计算机”,等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  44. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57