基于C51的步进电机控制器设计

  • 设计任务
  • 一、步进电机是什么
  • 二、程序设计
    • 1.流程图
    • 2.定时器中断调速程序
    • 3.怎么可能只有一种写法呢?
  • 总结
    • 写在最后


提示:写完文章后,目录可以自动生成,如何生成可参考右边的帮助文档

文章目录

  • 设计任务
  • 一、步进电机是什么
  • 二、程序设计
    • 1.流程图
    • 2.定时器中断调速程序
    • 3.怎么可能只有一种写法呢?
  • 总结
    • 写在最后


设计任务

控制器有三种运转模式:连续模式、点动模式、行程模式。四位数码管,从左到右,第一位显示模式,第二位显示方向,三四位显示速度或行程。各模式间采用<模式>键切换。
(1)连续模式:可以用+、—键增减速,FWR、REV键调整正反方向,<启/停>键启动或停止电机连续运转。
(2)点动模式:FWR为正转、REV为反转,按键不松开,电机一直运转。
(3)行程模式:可以用+、—键设置一个行程,<启/停>键启动电机运转,步进电机自动先加速,再匀速,最后减速停止走完整个行程。


提示:以下是本篇文章正文内容,下面案例可供参考

一、步进电机是什么

本次使用的是五线四项步进电机28BYJ-48,八拍工作。L298N中VCC接5V,可正常工作。由于这款步进电机本身有1:64的减速比,所以程序装载后速度较慢,想加速在改变参数后电机本身会出现堵转、丢步等现象。

在这里插入图片描述

对步进电机工作原理不是很了解的同学可以百度一下哈,不过不用过于深究这个问题,接好线之后上手做就完事了,奥利给~

二、程序设计

1.流程图

大概是流程图吧,可能画的不太规范。

在这里插入图片描述

2.定时器中断调速程序

代码如下(示例):

#include<reg52.h>
#include<intrins.h>
#define uchar unsigned char
#define uint  unsigned int#define MotorData P0                 //步进电机控制接口定义sbit plus=P2^0;						//独立按键接口定义
sbit minus=P2^1;
sbit FWR=P2^2;
sbit REV=P2^3;
sbit start=P2^4;
sbit stop=P2^5;
sbit modelTranslat=P2^7;sbit DI1=P1^0;						//数码管接口定义
sbit DI2=P1^1;
sbit DI3=P1^2;
sbit DI4=P1^3;
sbit DIO=P1^4;
sbit RCK=P1^5;
sbit SCLK=P1^6;uchar phasecw[8] = {0x08,0x0c,0x04,0x06,0x02,0x03,0x01,0x09};//正转导通相序uchar DIG_CODE[11] = {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00};//数码管段选  以0起始uint modelFlag=0,directionFlag=0,speedNnumber=5,stepNnumber=0,stepTrue=0,startFlag=0xff,order=0;
//定时器中断  时间初始化 相序标记赋值
void Time0() interrupt 1 {uint speedTrue=2000*(10-speedNnumber); TH0=(65536-speedTrue)/256;TL0=(65536-speedTrue)%256;if(order==7){order=-1;}order+=1;	
}
//小延时
void delay(uint i){while(i--);
}
//ms延时函数
void Delay_xms(uint x){uint i,j;for(i=0;i<x;i++){for(j=0;j<112;j++);}
}
//拆分并将待显示数据送达数码管   段选
void transitData(uchar display_data){uint i;SCLK=0;RCK=0;for(i=0;i<8;i++){SCLK=0;if((display_data<<i)&0x80){DIO=1;}else DIO=0;SCLK=1; }RCK=1;	
}
//分模式对显示数据位选  调用段选  延时消隐
void Dislay(){if(modelFlag==0){DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[0]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[speedNnumber]);delay(1);transitData(DIG_CODE[10]);}if(modelFlag==1){DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[0]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[speedNnumber]);delay(1);transitData(DIG_CODE[10]);}if(modelFlag==2){uint disStep=stepNnumber-(stepTrue/512);DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[disStep/10]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[disStep%10]);delay(1);transitData(DIG_CODE[10]);}
}
//按键检测 标记赋值
void Keyscan(){//模式按键检测if(modelTranslat==0){Delay_xms(1);if(modelTranslat==0){if(modelFlag==2){modelFlag=0;	}else modelFlag+=1;				}Delay_xms(200);//松手检测		}//+-分模式判断if(plus==0 || minus==0){Delay_xms(1);if(modelFlag==0){if(plus==0){if(speedNnumber==9){speedNnumber=-1;}speedNnumber+=1;								}if(minus==0){if(speedNnumber==0){speedNnumber=10;}speedNnumber-=1;			}						}if(modelFlag==1){if(plus==0){				if(speedNnumber==9){speedNnumber=-1;}speedNnumber+=1;				}if(minus==0){				if(speedNnumber==0){speedNnumber=10;}speedNnumber-=1;			}						}//+不设上限 —不能为负if(modelFlag==2){if(plus==0){stepNnumber+=1;				}if(minus==0){if(stepNnumber==0){stepNnumber=5;}stepNnumber-=1;							}}Delay_xms(200);//松手检测}//方向键切换   正转标记为0  反转为1if(FWR==0){Delay_xms(10);if(FWR==0){directionFlag=0;		}}if(REV==0){Delay_xms(10);if(REV==0){directionFlag=1;		}}//启停标记  启动标记为0 停止为1if(start==0){startFlag=0;}if(stop==0){startFlag=1;}	
}
//顺时针转动
void MotorCW(){MotorData=phasecw[order];TR0=1;	stepTrue+=1;		
}
//逆时针转动
void MotorCCW(){MotorData=phasecw[7-order];TR0=1;		stepTrue+=1;
}
//停止转动
void MotorStop(){MotorData=0x00;stepTrue=0;stepNnumber=0;startFlag=0xff;TR0=0;
}
//分模式调电机正反转
void modelFirst(){if(directionFlag){MotorCCW();		}else{MotorCW();						}
}
void modelSecond(){if(FWR==0){MotorCW();	}if(REV==0){MotorCCW();}	
}
void modelThird(){uint stepTarget=(stepNnumber*1024);//64*8=512	实测45°uint i,j;if(!directionFlag){for(i=0;i<stepTarget;i++){MotorCW();	}}else{for(i=0;i<stepTarget;i++){MotorCCW();				}}MotorStop();
}void main(){Delay_xms(50);//等待系统稳定TMOD=0X01;TH0=(65536-10000)/256;TL0=(65536-10000)%256;EA=1;ET0=1;while(1){Keyscan();Dislay();if(startFlag==0){					switch(modelFlag){case(0):modelFirst();break;case(1):modelSecond();break;case(2):modelThird();break;default:break;				 }}if(startFlag==1){MotorStop();	}	  }
}

3.怎么可能只有一种写法呢?

第二种调速方式:延时
我个人感觉这种做法有点耍赖皮的感觉,而且实际上机测试的时候数码管的显示效果会差很多,就断断续续的感觉,也有可能是八拍工作方式的问题,时间会有点久,如果换成四拍工作方式的话效果可能会好一些,有兴趣的同志们可以用四拍导通相序来试一下。
代码如下(示例):

#include<reg52.h>
#include<intrins.h>
#define uchar unsigned char
#define uint  unsigned int#define MotorData P0                 //步进电机控制接口定义sbit plus=P2^0;						//独立按键接口定义
sbit minus=P2^1;
sbit FWR=P2^2;
sbit REV=P2^3;
sbit start=P2^4;
sbit stop=P2^5;
sbit modelTranslat=P2^7;sbit DI1=P1^0;						//数码管接口定义
sbit DI2=P1^1;
sbit DI3=P1^2;
sbit DI4=P1^3;
sbit DIO=P1^4;
sbit RCK=P1^5;
sbit SCLK=P1^6;uchar phasecw[8] ={0x08,0x0c,0x04,0x06,0x02,0x03,0x01,0x09};//正转导通相序
uchar phaseccw[8]={0x09,0x01,0x03,0x02,0x06,0x04,0x0c,0x08};//反转导通相序 uchar DIG_CODE[11] = {
0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,		 
0x7f,0x6f,0x00};//数码管段选  以0起始uint modelFlag=0,directionFlag=0,speedNnumber=5,stepNnumber=0,stepTrue=0,startFlag=0xff;
//小延时
void delay(uint i){while(i--);
}
//ms延时函数
void Delay_xms(uint x){uint i,j;for(i=0;i<x;i++){for(j=0;j<112;j++);}
}void transitData(uchar display_data){uint i;SCLK=0;RCK=0;for(i=0;i<8;i++){SCLK=0;if((display_data<<i)&0x80){DIO=1;}else DIO=0;SCLK=1; }RCK=1;	
}void Dislay(){if(modelFlag==0){DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[0]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[speedNnumber]);delay(1);transitData(DIG_CODE[10]);}if(modelFlag==1){DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[0]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[speedNnumber]);delay(1);transitData(DIG_CODE[10]);}if(modelFlag==2){uint disStep=stepNnumber-(stepTrue/64);DI1=1;DI2=0;DI3=0;DI4=0;transitData(DIG_CODE[modelFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=1;DI3=0;DI4=0;transitData(DIG_CODE[directionFlag]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=1;DI4=0;transitData(DIG_CODE[disStep/10]);delay(1);transitData(DIG_CODE[10]);DI1=0;DI2=0;DI3=0;DI4=1;transitData(DIG_CODE[disStep%10]);delay(1);transitData(DIG_CODE[10]);}
}void Keyscan(){//模式按键检测if(modelTranslat==0){Delay_xms(1);if(modelTranslat==0){if(modelFlag==2){modelFlag=0;	}else modelFlag+=1;				}Delay_xms(200);//松手检测		}//+-分模式判断if(plus==0 || minus==0){Delay_xms(1);if(modelFlag==0){if(plus==0){if(speedNnumber==9){speedNnumber=-1;}speedNnumber+=1;								}if(minus==0){if(speedNnumber==0){speedNnumber=10;}speedNnumber-=1;			}						}if(modelFlag==1){if(plus==0){				if(speedNnumber==9){speedNnumber=-1;}speedNnumber+=1;				}if(minus==0){				if(speedNnumber==0){speedNnumber=10;}speedNnumber-=1;			}						}//+不设上限 —不能为负if(modelFlag==2){if(plus==0){stepNnumber+=1;				}if(minus==0){if(stepNnumber==0){stepNnumber=5;}stepNnumber-=1;							}}Delay_xms(200);//松手检测}//方向键切换   正转标记为0  反转为1if(FWR==0){Delay_xms(10);if(FWR==0){directionFlag=0;		}}if(REV==0){Delay_xms(10);if(REV==0){directionFlag=1;		}}//启停标记  启动标记为0 停止为1if(start==0){startFlag=0;}if(stop==0){startFlag=1;}	
}//顺时针转动
void MotorCW(){uchar i;for(i=0;i<8;i++){//Dislay();MotorData=phasecw[i];Delay_xms(10-speedNnumber);//转速调节//Dislay();}stepTrue+=1;
}
//逆时针转动
void MotorCCW(){uchar i;for(i=0;i<8;i++){//Dislay();MotorData=phaseccw[i];Delay_xms(10-speedNnumber);//转速调节//Dislay();}stepTrue+=1;
}
//停止转动
void MotorStop(){MotorData=0x00;stepTrue=0;stepNnumber=0;startFlag=0xff;
}void modelFirst(){if(directionFlag){MotorCCW();		}else{MotorCW();						}
}void modelSecond(){if(FWR==0){MotorCW();	}if(REV==0){MotorCCW();}	
}void modelThird(){uint stepTarget=(stepNnumber*64);uint i;if(!directionFlag){for(i=0;i<stepTarget;i++){MotorCW();	}}else{for(i=0;i<stepTarget;i++){MotorCCW();	}}MotorStop();
}//主函数
void main(){Delay_xms(50);//等待系统稳定while(1){Keyscan();Dislay();if(startFlag==0){					switch(modelFlag){case(0):modelFirst();break;case(1):modelSecond();break;case(2):modelThird();break;default:break;				 }}if(startFlag==1){MotorStop();	}	  }
}

总结

以上就是我对本次实习任务的程序总结,其实这次实习让我收获最大的就是排查问题的思维方式,将可能导致问题出现的原因都穷举出来,想清楚了以后一项一项的检查,可以按照个人直觉(按可能性从高到低的去查),也可将原因分层,逐级排查,,一定要有耐心不要着急,最后一定是能够完成的。

写在最后

针对程序如有疑问可以私信联系我,看到之后我会尽力解答。祝大家学业有成&别墅靠海&终成眷属!

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. Kivy App开发之新建项目

    创建一个新的项目,执行以下步骤: 1. 执行cmd, 进入命令窗口,切换到放项目文件的目录 2. 创建虚拟环境 执行后可以查看到文件夹内容 3. 使用PyCharm打开,设置编译器 找到Interpreter菜单 设置已安装的python编译器...

    2024/5/8 7:45:04
  2. 前端发展史-服务器渲染时代和客户端渲染时代

    服务器渲染时代 客户端渲染时代 前端侵占移动端APP市场...

    2024/5/8 7:45:02
  3. PHP多进程解析

    我们都知道PHP是单进程执行的&#xff0c;PHP处理多并发主要是依赖服务器或PHP-FPM的多进程及它们进程的复用&#xff0c;但PHP实现多进程也意义重大&#xff0c;尤其是在后台Cli模式下处理大量数据或运行后台DEMON守护进程时&#xff0c;多进程的优势不用多说。 PHP的多线程也…...

    2024/5/8 7:45:02
  4. Day24SSM之SpringMVC中文乱码***

    SpringMVC的参数绑定-乱码问题 》推荐使用过滤器 web.xml <filter><filter-name>UTF8Filter</filter-name><filter-class>com.wzx.filter.UTF8Filter</filter-class></filter><filter-mapping><filter-name>UTF8Filter</…...

    2024/5/8 7:45:01
  5. 02-数据分析与机器学习

    Numpy, Pandas, Matplotlib简介 numpy(Numerical Python) 1、特点&#xff1a;引入数组概念 2、创建一维数组&#xff1a; import numpy as np a np.array(列表) #&#xff08;创建一维数组&#xff0c;创建二维&#xff1a;列表套列表&#xff09; a np.arange()…...

    2024/5/8 7:45:00
  6. 如何使用Python编程和控制Arduino

    Python已经风靡编码世界。随着这种新语言的兴起&#xff0c;DIY电子领域也蓬勃发展。来自Arduino和Raspberry Pi等公司的开发板和单板计算机改变了人们酿造家用自制电子产品的方式。如果你能用Python编程Arduino&#xff0c;那会不会很棒&#xff1f; 没有比结合两件很酷的东西…...

    2024/5/8 7:44:59
  7. golang_day2-运算符-数组-切片-指针-map

    运算符 算数运算符 - * /逻辑运算符 || && !位运算符 | & >> << ^赋值运算符 - ……和 -- 是独立的语句&#xff0c;不是运算符比较运算符 > > < < ! ……数组 array var age [30]int var age [3]int{1,2,3} var names [20]string…...

    2024/5/8 7:44:57
  8. 面试字节两轮后被完虐,一份字节跳动面试官给你的Android技术面试指南,请查收!

    大家应该看过很多分享面试成功的经验&#xff0c;但根据幸存者偏差的理论&#xff0c;也许多看看别人面试失败在哪里&#xff0c;对自己才更有帮助。 最近跟一个朋友聊天&#xff0c;他准备了几个月&#xff0c;刚刚参加完字节跳动面试&#xff0c;第二面结束后&#xff0c;嗯&…...

    2024/5/8 7:44:57
  9. Vue系列 => [06] es6模块化导入导出 webpack Vue脚手架 element-ui组件库

    目标 1.能够了解模块化的相关规范 2.了解webpack 3.了解使用Vue单文件组件 4.能够搭建Vue脚手架 5.掌握Element-UI的使用 1.模块化的分类 A.浏览器端的模块化 1).AMD(Asynchronous Module Definition,异步模块定义)代表产品为&#xff1a;Require.js2).CMD(Common Module De…...

    2024/5/8 7:44:56
  10. Java 方法区、永久代的区别

    参考文献&#xff1a; https://blog.csdn.net/u011635492/article/details/81046174 永久代和方法区的关系 涉及到内存模型时&#xff0c;往往会提到永久代&#xff0c;那么它和方法区又是什么关系呢&#xff1f;《Java虚拟机规范》只是规定了有方法区这么个概念和它的作用&…...

    2024/5/8 7:44:54
  11. CSS——获得焦点元素

    获得焦点元素 :focus 伪类 选择器用于选取获得焦点的元素 。 我们这里主要针对的是 表单元素 :hover 语法&#xff1a; .total input {border: 1px solid #ccc;height: 30px;width: 40px;transition: all .5s; } /*这个input 获得了焦点*/ .total input:focus {width: 80px;…...

    2024/5/8 7:44:53
  12. 2020-10-13(函数下)

    1.高阶函数 1.接收函数作为参数就是高阶函数 2.或者将函数作为返回值返回的函数就是高阶函数 #定义一个函数判断是否是函数 def fn1(a):if a % 2 0:return True # 现有一个列表如下 求其中的偶数并返回列表 list1 [1,2,3,4,5,6,7,8,9,10] # 高阶函数写法 def fn(fun,list1)…...

    2024/5/8 7:44:53
  13. HDFS客户端操作2 文件操作

    HDFS客户端操作2 文件操作 更多整理都在我的github上&#xff1a;Auraros欢迎大家。 连接方式 主要有两种连接HDFS方式&#xff1a; // 如果在resource中设置好了fs.defaultFS&#xff0c;则可以 Configuration conf new Configuration(); FileSystem fs FileSystem.get(…...

    2024/5/8 7:44:52
  14. pycharm创建django项目时出错

    在自定义Django虚拟环境下创建项目&#xff0c;最常见的方法是通过命令行去创建&#xff0c;步骤如下&#xff1a; 1.创建一个新的目录用于存放项目 2.进入该目录&#xff0c;然后在此处打开命令窗口&#xff0c;激活Django虚拟环境 3.创建项目&#xff0c;在命令行输入&#x…...

    2024/5/8 1:32:34
  15. CentOS7无法使用yum命令

    问题描述&#xff1a; One of the configured repositories failed (Unknown), and yum doesn’t have enough cached data to continue. At this point the only safe thing yum can do is fail. There are a few ways to work “fix” this: 解决方案&#xff1a;设置网卡开…...

    2024/5/8 7:44:50
  16. nginx: [emerg] open() “/usr/local/nginx/logs/nginx.pid“ failed (2: No such file or directory)

    可以看到在启动的时候是无法创建pid文件 1: 修改nginx.conf&#xff1a;vim /usr/local/nginx/conf/nginx.conf: 在配置文件中有个注释的地方&#xff1a; #pid logs/nginx.pid; 将注释放开&#xff0c;并修改为&#xff1a;pid /usr/local/nginx/logs/nginx.pid; 在 /usr…...

    2024/5/8 7:44:49
  17. Scanner.nextInt和Scanner.hasNextInt

    关于Scanner.nextInt和Scanner.hasNextInt 首先&#xff0c;Scanner.nextInt&#xff08;&#xff09;和Scanner.hasNextInt&#xff08;&#xff09;都可以输入 Scanner.hasNextInt&#xff08;&#xff09;返回的是bool型 exp: import java.util.Scanner; public class h…...

    2024/5/8 7:44:49
  18. elementUI中的表格怎么根据请求回的数据自定义内容(比如:状态、性别)

    加一个slot-scope const map {0: 全部,1: 正常,2: 待发布,3: 已删除, } <el-table-columnprop"status"label"状态"align"center"width"100"><template slot-scope"scope"><span>{{ map[scope.row.statu…...

    2024/5/8 7:44:48
  19. Java fastjson解析JSON

    JSON格式及FastJson使用详解&#xff1a; https://zhuanlan.zhihu.com/p/62763428...

    2024/5/8 7:44:46
  20. VSCode自定义快捷键生成Vue实例模板

    VSCode自定义快捷键生成Vue实例模板 原因&#xff1a; 看到上课老师一下就vhtab出来了Vue模板&#xff0c;而我的就是出不来&#xff0c;我下来查看别人写的博客才找到了方法&#xff0c;希望对后面的你有帮助 配置&#xff1a; file - preference - user snippets 进行模板配…...

    2024/5/8 7:44:46

最新文章

  1. 2024软件测试自动化面试题(含答案)

    1.如何把自动化测试在公司中实施并推广起来的&#xff1f; 选择长期的有稳定模块的项目 项目组调研选择自动化工具并开会演示demo案例&#xff0c;我们主要是演示selenium和robot framework两种。 搭建自动化测试框架&#xff0c;在项目中逐步开展自动化。 把该项目的自动化…...

    2024/5/9 6:09:57
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/5/7 10:36:02
  3. 设计模式:组合模式

    定义 组合模式(Composite Pattern)是一种结构型设计模式,它允许你将对象组合成树形结构来表示“部分-整体”的层次结构。组合模式使得客户端可以统一对待单个对象和组合对象。 应用场景 组合模式适用于以下场景: 表达对象的部分-整体层次结构:当你想要表示对象的部分-整…...

    2024/5/7 11:56:24
  4. react--常见hook

    useState: 用于在函数组件中添加状态。示例&#xff1a; import React, { useState } from react;function Counter() {const [count, setCount] useState(0);return (<div><p>Count: {count}</p><button onClick{() > setCount(count 1)}>Incr…...

    2024/5/6 15:25:07
  5. 416. 分割等和子集问题(动态规划)

    题目 题解 class Solution:def canPartition(self, nums: List[int]) -> bool:# badcaseif not nums:return True# 不能被2整除if sum(nums) % 2 ! 0:return False# 状态定义&#xff1a;dp[i][j]表示当背包容量为j&#xff0c;用前i个物品是否正好可以将背包填满&#xff…...

    2024/5/8 19:32:33
  6. 【Java】ExcelWriter自适应宽度工具类(支持中文)

    工具类 import org.apache.poi.ss.usermodel.Cell; import org.apache.poi.ss.usermodel.CellType; import org.apache.poi.ss.usermodel.Row; import org.apache.poi.ss.usermodel.Sheet;/*** Excel工具类** author xiaoming* date 2023/11/17 10:40*/ public class ExcelUti…...

    2024/5/7 22:31:36
  7. Spring cloud负载均衡@LoadBalanced LoadBalancerClient

    LoadBalance vs Ribbon 由于Spring cloud2020之后移除了Ribbon&#xff0c;直接使用Spring Cloud LoadBalancer作为客户端负载均衡组件&#xff0c;我们讨论Spring负载均衡以Spring Cloud2020之后版本为主&#xff0c;学习Spring Cloud LoadBalance&#xff0c;暂不讨论Ribbon…...

    2024/5/9 2:44:26
  8. TSINGSEE青犀AI智能分析+视频监控工业园区周界安全防范方案

    一、背景需求分析 在工业产业园、化工园或生产制造园区中&#xff0c;周界防范意义重大&#xff0c;对园区的安全起到重要的作用。常规的安防方式是采用人员巡查&#xff0c;人力投入成本大而且效率低。周界一旦被破坏或入侵&#xff0c;会影响园区人员和资产安全&#xff0c;…...

    2024/5/8 20:33:13
  9. VB.net WebBrowser网页元素抓取分析方法

    在用WebBrowser编程实现网页操作自动化时&#xff0c;常要分析网页Html&#xff0c;例如网页在加载数据时&#xff0c;常会显示“系统处理中&#xff0c;请稍候..”&#xff0c;我们需要在数据加载完成后才能继续下一步操作&#xff0c;如何抓取这个信息的网页html元素变化&…...

    2024/5/9 3:15:57
  10. 【Objective-C】Objective-C汇总

    方法定义 参考&#xff1a;https://www.yiibai.com/objective_c/objective_c_functions.html Objective-C编程语言中方法定义的一般形式如下 - (return_type) method_name:( argumentType1 )argumentName1 joiningArgument2:( argumentType2 )argumentName2 ... joiningArgu…...

    2024/5/9 5:40:03
  11. 【洛谷算法题】P5713-洛谷团队系统【入门2分支结构】

    &#x1f468;‍&#x1f4bb;博客主页&#xff1a;花无缺 欢迎 点赞&#x1f44d; 收藏⭐ 留言&#x1f4dd; 加关注✅! 本文由 花无缺 原创 收录于专栏 【洛谷算法题】 文章目录 【洛谷算法题】P5713-洛谷团队系统【入门2分支结构】&#x1f30f;题目描述&#x1f30f;输入格…...

    2024/5/7 14:58:59
  12. 【ES6.0】- 扩展运算符(...)

    【ES6.0】- 扩展运算符... 文章目录 【ES6.0】- 扩展运算符...一、概述二、拷贝数组对象三、合并操作四、参数传递五、数组去重六、字符串转字符数组七、NodeList转数组八、解构变量九、打印日志十、总结 一、概述 **扩展运算符(...)**允许一个表达式在期望多个参数&#xff0…...

    2024/5/8 20:58:56
  13. 摩根看好的前智能硬件头部品牌双11交易数据极度异常!——是模式创新还是饮鸩止渴?

    文 | 螳螂观察 作者 | 李燃 双11狂欢已落下帷幕&#xff0c;各大品牌纷纷晒出优异的成绩单&#xff0c;摩根士丹利投资的智能硬件头部品牌凯迪仕也不例外。然而有爆料称&#xff0c;在自媒体平台发布霸榜各大榜单喜讯的凯迪仕智能锁&#xff0c;多个平台数据都表现出极度异常…...

    2024/5/9 1:35:21
  14. Go语言常用命令详解(二)

    文章目录 前言常用命令go bug示例参数说明 go doc示例参数说明 go env示例 go fix示例 go fmt示例 go generate示例 总结写在最后 前言 接着上一篇继续介绍Go语言的常用命令 常用命令 以下是一些常用的Go命令&#xff0c;这些命令可以帮助您在Go开发中进行编译、测试、运行和…...

    2024/5/9 4:12:16
  15. 用欧拉路径判断图同构推出reverse合法性:1116T4

    http://cplusoj.com/d/senior/p/SS231116D 假设我们要把 a a a 变成 b b b&#xff0c;我们在 a i a_i ai​ 和 a i 1 a_{i1} ai1​ 之间连边&#xff0c; b b b 同理&#xff0c;则 a a a 能变成 b b b 的充要条件是两图 A , B A,B A,B 同构。 必要性显然&#xff0…...

    2024/5/7 16:05:05
  16. 【NGINX--1】基础知识

    1、在 Debian/Ubuntu 上安装 NGINX 在 Debian 或 Ubuntu 机器上安装 NGINX 开源版。 更新已配置源的软件包信息&#xff0c;并安装一些有助于配置官方 NGINX 软件包仓库的软件包&#xff1a; apt-get update apt install -y curl gnupg2 ca-certificates lsb-release debian-…...

    2024/5/8 18:06:50
  17. Hive默认分割符、存储格式与数据压缩

    目录 1、Hive默认分割符2、Hive存储格式3、Hive数据压缩 1、Hive默认分割符 Hive创建表时指定的行受限&#xff08;ROW FORMAT&#xff09;配置标准HQL为&#xff1a; ... ROW FORMAT DELIMITED FIELDS TERMINATED BY \u0001 COLLECTION ITEMS TERMINATED BY , MAP KEYS TERMI…...

    2024/5/8 1:37:32
  18. 【论文阅读】MAG:一种用于航天器遥测数据中有效异常检测的新方法

    文章目录 摘要1 引言2 问题描述3 拟议框架4 所提出方法的细节A.数据预处理B.变量相关分析C.MAG模型D.异常分数 5 实验A.数据集和性能指标B.实验设置与平台C.结果和比较 6 结论 摘要 异常检测是保证航天器稳定性的关键。在航天器运行过程中&#xff0c;传感器和控制器产生大量周…...

    2024/5/9 1:42:21
  19. --max-old-space-size=8192报错

    vue项目运行时&#xff0c;如果经常运行慢&#xff0c;崩溃停止服务&#xff0c;报如下错误 FATAL ERROR: CALL_AND_RETRY_LAST Allocation failed - JavaScript heap out of memory 因为在 Node 中&#xff0c;通过JavaScript使用内存时只能使用部分内存&#xff08;64位系统&…...

    2024/5/9 5:02:59
  20. 基于深度学习的恶意软件检测

    恶意软件是指恶意软件犯罪者用来感染个人计算机或整个组织的网络的软件。 它利用目标系统漏洞&#xff0c;例如可以被劫持的合法软件&#xff08;例如浏览器或 Web 应用程序插件&#xff09;中的错误。 恶意软件渗透可能会造成灾难性的后果&#xff0c;包括数据被盗、勒索或网…...

    2024/5/9 4:31:45
  21. JS原型对象prototype

    让我简单的为大家介绍一下原型对象prototype吧&#xff01; 使用原型实现方法共享 1.构造函数通过原型分配的函数是所有对象所 共享的。 2.JavaScript 规定&#xff0c;每一个构造函数都有一个 prototype 属性&#xff0c;指向另一个对象&#xff0c;所以我们也称为原型对象…...

    2024/5/8 12:44:41
  22. C++中只能有一个实例的单例类

    C中只能有一个实例的单例类 前面讨论的 President 类很不错&#xff0c;但存在一个缺陷&#xff1a;无法禁止通过实例化多个对象来创建多名总统&#xff1a; President One, Two, Three; 由于复制构造函数是私有的&#xff0c;其中每个对象都是不可复制的&#xff0c;但您的目…...

    2024/5/8 9:51:44
  23. python django 小程序图书借阅源码

    开发工具&#xff1a; PyCharm&#xff0c;mysql5.7&#xff0c;微信开发者工具 技术说明&#xff1a; python django html 小程序 功能介绍&#xff1a; 用户端&#xff1a; 登录注册&#xff08;含授权登录&#xff09; 首页显示搜索图书&#xff0c;轮播图&#xff0…...

    2024/5/8 1:37:29
  24. 电子学会C/C++编程等级考试2022年03月(一级)真题解析

    C/C++等级考试(1~8级)全部真题・点这里 第1题:双精度浮点数的输入输出 输入一个双精度浮点数,保留8位小数,输出这个浮点数。 时间限制:1000 内存限制:65536输入 只有一行,一个双精度浮点数。输出 一行,保留8位小数的浮点数。样例输入 3.1415926535798932样例输出 3.1…...

    2024/5/9 4:33:29
  25. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  26. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  27. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  28. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  29. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  30. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  31. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  32. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  33. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  34. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  35. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  36. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  37. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  38. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  39. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  40. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  41. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  42. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  43. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  44. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57