“硬件设计很讲究并行设计思想,虽然用Verilog描述的电路大都是并行实现的,但是对于实际的工程应用,往往需要让硬件来实现一些具有一定顺序的工作,这就要用到状态机思想。什么是状态机呢?简单的说,就是通过不同的状态迁移来完成一些特定的顺序逻辑。硬件的并行性决定了用Verilog描述的硬件实现(臂如不同的always语句)都是并行执行的,那么如果希望分多个时间完成一个任务,怎么办?也许可以用多个使能信号来衔接多个不同的模块,但是这样做多少显得繁琐。状态机的提出会大大简化这一工作。”——特权同学《深入浅出玩转FPGA》

 

  一、状态机分类:

  1.Moore型:状态机的状态变化仅和当前状态有关(特权同学《深入浅出玩转FPGA》);时序逻辑电路的输出只取决于当前状态(夏宇闻《Verilog数字系统设计》)。设计高速电路时常用此类状态机,把状态变化直接用作输出。

  2.Mealy型:状态机的状态变化不仅与当前的状态有关,还取决于当前的输入条件(特权同学《深入浅出玩转FPGA》);时序逻辑的输出不但取决于状态还取决于输入(夏宇闻《Verilog数字系统设计》)。平常使用较多的是此类状态机。

  “其实这几种状态机之间,只要做一些改变,便可以从一种形式转变为另一种形式。把状态机精确的分为这类或那类,其实并不重要,重要的是设计者如何把握输出的结构能满足设计的整体目标,包括定时的准确性和灵活性。”——夏宇闻《Verilog数字系统设计》

 

  二、状态机编码:

   状态机的参数定义采用的都是独热码,和格雷码相比,虽然独热码多用了触发器,但所用组合电路可以省一些,因而使电路的速度和可靠性有显著提高,而总的单元数并无显著增加。采用独热编码后有了多余的状态,就有一些不可达到的状态。为此在case语句的最后需要增加default分支向。这可以用默认项表示该项,也可以用确定项表示,以确保回到初始状态。一般综合器都可以通过综合指令的控制来合理地处理默认项。

 

  三、实例分析

  状态机一般有三种不同的写法,即一段式、两段式和三段式的状态机写法,他们在速度、面积、代码可维护性等各个方面互有优劣,不要对任何一种写法给出“一棍子打死”的定论。手头上刚好有一个状态机的例子,借此记录一下三种状态机的Verilog写法。

  要求:

  售货机里有价值4元的脉动饮料,支持1元和2元硬币。请设计一个状态机,检测投入的硬币,当累计投入币值大于等于脉动价格时,售货机自动找零并弹出1瓶脉动饮料。硬币和商品都是一个一个的进出,不会出现一次性投很多个硬币弹出很多瓶脉动的情况。

信号 含义
clk 时钟信号
rst_n 复位信号
in 输入信号,币值,有1和2两种,投钱
out 输出信号,币值,有1和2两种,找零
out_vld 输出信号,脉动,为1则输出1瓶脉动

 

 

 

 

 

 

 

 

  状态转移图:

  根据要求,我们先把状态转移图画出来,绘画软件:Visio,如果没有安装也可以用wps自带应用的“流程图”功能:


  1. 一段式状态机

  只定义一个转移状态:state,总体结构是一段always时序逻辑,用于描述状态转移和输出。由于是时序逻辑能够自动保持,所以可以省略else。但建议在初始状态时(例如下文的S0),else处赋一下初始值。

 1 //======================================================================
 2 // --- 名称 : FSM_1
 3 // --- 作者 : xianyu_FPGA
 4 // --- 日期 : 2018-12-15
 5 // --- 描述 : 售货机练习,采用一段式状态机
 6 //======================================================================
 7 
 8 module FSM_1
 9 //---------------------<端口声明>---------------------------------------
10 (
11 input                   clk                 ,
12 input                   rst_n               ,
13 input      [1:0]        in                  ,
14 output reg [1:0]        out                 ,
15 output reg              out_vld
16 );
17 //---------------------<信号定义>---------------------------------------
18 reg  [3:0]              state               ;
19 //---------------------<状态机参数>-------------------------------------
20 localparam S0           = 4'b0001           ;
21 localparam S1           = 4'b0010           ;
22 localparam S2           = 4'b0100           ;
23 localparam S3           = 4'b1000           ;
24 
25 //----------------------------------------------------------------------
26 //--   状态机第1段
27 //----------------------------------------------------------------------
28 always@(posedge clk or negedge rst_n)begin
29     if(!rst_n)begin
30         state   <= S0;
31         out     <= 0 ;
32         out_vld <= 0 ;
33     end
34     else begin
35         case(state)
36             S0: begin
37                 if(in==1)begin
38                     state   <= S1;
39                 end
40                 else if(in==2)begin
41                     state   <= S2;
42                 end
43                 else begin
44                     out     <= 0 ;
45                     out_vld <= 0 ;
46                 end
47             end
48             S1: begin
49                 if(in==1)begin
50                     state   <= S2;
51                 end
52                 else if(in==2)begin
53                     state   <= S3;
54                 end
55             end
56             S2: begin
57                 if(in==1)begin
58                     state   <= S3;
59                 end
60                 else if(in==2)begin
61                     state   <= S0;
62                     out_vld <= 1 ;
63                 end
64             end
65             S3: begin
66                 if(in==1)begin
67                     state   <= S0;
68                     out_vld <= 1 ;
69                 end
70                 else if(in==2)begin
71                     state   <= S0;
72                     out     <= 1 ;
73                     out_vld <= 1 ;
74                 end
75             end
76             default:state   <= S0;
77         endcase
78     end
79 end
80 
81 
82 
83 endmodule

仿真波形如下:

结论:波形和预想一致!

 

  2. 二段式状态机:

  二段式状态机,第一段用时序逻辑描述state_c(现态)和state_n(次态),第二段用组合逻辑描述状态转移和输出。由于是组合逻辑,为避免产生锁存器,else处一定要写上 if 中说使用了的信号。

 1 //======================================================================
 2 // --- 名称 : FSM_2
 3 // --- 作者 : xianyu_FPGA
 4 // --- 日期 : 2018-12-15
 5 // --- 描述 : 售货机练习,采用二段式状态机
 6 //======================================================================
 7 
 8 module FSM_2
 9 //---------------------<端口声明>---------------------------------------
10 (
11 input                   clk                 ,
12 input                   rst_n               ,
13 input      [1:0]        in                  ,
14 output reg [1:0]        out                 ,
15 output reg              out_vld
16 );
17 //---------------------<信号定义>---------------------------------------
18 reg  [3:0]              state_c             ;
19 reg  [3:0]              state_n             ;
20 //---------------------<状态机参数>-------------------------------------
21 localparam S0           = 4'b0001           ;
22 localparam S1           = 4'b0010           ;
23 localparam S2           = 4'b0100           ;
24 localparam S3           = 4'b1000           ;
25 
26 //----------------------------------------------------------------------
27 //--   状态机第1段
28 //----------------------------------------------------------------------
29 always@(posedge clk or negedge rst_n)begin
30     if(!rst_n)
31         state_c <= S0;
32     else
33         state_c <= state_n;
34 end
35 
36 //----------------------------------------------------------------------
37 //--   状态机第2段
38 //----------------------------------------------------------------------
39 always@(*)begin
40     case(state_c)
41         S0: begin
42             if(in==1)begin
43                 state_n = S1;
44             end
45             else if(in==2)begin
46                 state_n = S2;
47             end
48             else begin
49                 state_n = state_c;
50                 out     = 0 ;
51                 out_vld = 0 ;
52             end
53         end
54         S1: begin
55             if(in==1)begin
56                 state_n = S2;
57             end
58             else if(in==2)begin
59                 state_n = S3;
60             end
61             else begin
62                 state_n = state_c;
63             end
64         end
65         S2: begin
66             if(in==1)begin
67                 state_n = S3;
68             end
69             else if(in==2)begin
70                 state_n = S0;
71                 out_vld = 1 ;
72             end
73             else begin
74                 state_n = state_c;
75                 out_vld = 0;
76             end
77         end
78         S3: begin
79             if(in==1)begin
80                 state_n = S0;
81                 out_vld = 1 ;
82             end
83             else if(in==2)begin
84                 state_n = S0;
85                 out     = 1 ;
86                 out_vld = 1 ;
87             end
88             else begin
89                 state_n = state_c;
90                 out     = 0;
91                 out_vld = 0;
92             end
93         end
94         default:state_n = S0;
95     endcase
96 end
97 
98 
99 endmodule

仿真波形如下所示:

结论:波形和预想一致!但是产生了毛刺,这也是二段式状态机的缺点。

毛刺产生原因:状态机通常包含主控时序进程、主控组合进程和辅助进程三个部分。其中,主控组合进程的任务是根据外部输入的控制信号和当前状态的状态值确定下一 状态的取向,并确定对外输出内容和对内部其他组合或时序进程输出控制信号的内容。一方面,由于有组合逻辑进程的存在,状态机输出信号会出现毛刺——竞争冒险现象;另一方面,如果状态信号是多位值的,则在电路中对应了多条信号线。由于存在传输延迟,各信号线上的值发生改变的时间则存在先后,从而使得状态迁移时在初始状态和目的状态之间出现临时状态——毛刺。

   简单理解为:state_n 会因为组合逻辑原因不断出现临时状态,这些状态是无效的,而输出也因为组合逻辑原因产生这些临时状态,即毛刺。

  3. 三段式状态机

  三段式状态机,第一段用时序逻辑描述state_c(现态)和state_n(次态),第二段用组合逻辑描述状态转移,第三段用时序逻辑描述输出,第三段可以是多个always块。

 1 //======================================================================
 2 // --- 名称 : FSM_3
 3 // --- 作者 : xianyu_FPGA
 4 // --- 日期 : 2018-12-15
 5 // --- 描述 : 售货机练习,采用三段式状态机
 6 //======================================================================
 7 
 8 module FSM_3
 9 //---------------------<端口声明>---------------------------------------
10 (
11 input                   clk                 ,
12 input                   rst_n               ,
13 input      [1:0]        in                  ,
14 output reg [1:0]        out                 ,
15 output reg              out_vld
16 );
17 //---------------------<信号定义>---------------------------------------
18 reg  [3:0]              state_c             ;
19 reg  [3:0]              state_n             ;
20 //---------------------<状态机参数>-------------------------------------
21 localparam S0           = 4'b0001           ;
22 localparam S1           = 4'b0010           ;
23 localparam S2           = 4'b0100           ;
24 localparam S3           = 4'b1000           ;
25 
26 //----------------------------------------------------------------------
27 //--   状态机第1段
28 //----------------------------------------------------------------------
29 always @(posedge clk or negedge rst_n)begin
30     if(!rst_n)
31         state_c <= S0;
32     else
33         state_c <= state_n;
34 end
35 
36 //----------------------------------------------------------------------
37 //--   状态机第2段
38 //----------------------------------------------------------------------
39 always @(*)begin
40     case(state_c)
41         S0: begin
42             if(in==1)
43                 state_n = S1;
44             else if(in==2)
45                 state_n = S2;
46             else
47                 state_n = state_c;
48         end
49         S1: begin
50             if(in==1)
51                 state_n = S2;
52             else if(in==2)
53                 state_n = S3;
54             else
55                 state_n = state_c;
56         end
57         S2: begin
58             if(in==1)
59                 state_n = S3;
60             else if(in==2)
61                 state_n = S0;
62             else
63                 state_n = state_c;
64         end
65         S3: begin
66             if(in==1 || in==2)      // in != 0也行
67                 state_n = S0;
68             else
69                 state_n = state_c;
70         end
71         default:state_n = S0;
72     endcase
73 end
74 
75 //----------------------------------------------------------------------
76 //--   状态机第3段
77 //----------------------------------------------------------------------
78 //找零钱
79 always @(posedge clk or negedge rst_n)begin
80     if(!rst_n)
81         out <= 0;     
82     else if(state_c==S3 && in==2)
83         out <= 1;
84     else
85         out <= 0;
86 end
87 
88 //输出脉动
89 always @(posedge clk or negedge rst_n)begin
90     if(rst_n==1'b0)
91         out_vld <= 0;
92     else if((state_c==S2 && in==2) || (state_c==S3 && in!=0))
93         out_vld <= 1;
94     else
95         out_vld <= 0;
96 end
97 
98 
99 endmodule

仿真波形如下所示:

结论:波形和预想一致!这也是较多书籍推荐的写法。

 

  4. 一段式和三段式结合的状态机(by 威三学院FPGA教程)

  V3学院状态机,只定义一个转移状态:state。第一段用时序逻辑描述state状态转移,第二段用时序逻辑描述输出,第二段可以是多个always块。由于是时序逻辑能够自动保持,所以可以省略else。这种状态机的优点是既消除了组合逻辑可能产生的毛刺,又减少了代码量。

 1 //======================================================================
 2 // --- 名称 : FSM_V3
 3 // --- 作者 : xianyu_FPGA
 4 // --- 日期 : 2019-06-12
 5 // --- 描述 : 售货机练习,采用V3学院的状态机
 6 //======================================================================
 7 
 8 module FSM_V3
 9 //---------------------<端口声明>---------------------------------------
10 (
11 input                   clk                 ,
12 input                   rst_n               ,
13 input      [1:0]        in                  ,
14 output reg [1:0]        out                 ,
15 output reg              out_vld
16 );
17 //---------------------<信号定义>---------------------------------------
18 reg  [3:0]              state               ;
19 //---------------------<状态机参数>-------------------------------------
20 localparam S0           = 4'b0001           ;
21 localparam S1           = 4'b0010           ;
22 localparam S2           = 4'b0100           ;
23 localparam S3           = 4'b1000           ;
24 
25 //----------------------------------------------------------------------
26 //--   状态机
27 //----------------------------------------------------------------------
28 always @(posedge clk or negedge rst_n)begin
29     if(!rst_n)
30         state <= S0;
31     else begin
32         case(state)
33             S0: begin
34                 if(in==1)
35                     state <= S1;
36                 else if(in==2)
37                     state <= S2;
38             end
39             S1: begin
40                 if(in==1)
41                     state <= S2;
42                 else if(in==2)
43                     state <= S3;
44             end
45             S2: begin
46                 if(in==1)
47                     state <= S3;
48                 else if(in==2)
49                     state <= S0;
50             end
51             S3: begin
52                 if(in==1 || in==2)      // in != 0也行
53                     state <= S0;
54             end
55             default:state <= S0;
56         endcase
57     end
58 end
59 
60 //----------------------------------------------------------------------
61 //--   输出
62 //----------------------------------------------------------------------
63 //找零钱
64 always @(posedge clk or negedge rst_n)begin
65     if(!rst_n)
66         out <= 0;     
67     else if(state==S3 && in==2)
68         out <= 1;
69     else
70         out <= 0;
71 end
72 
73 //输出脉动
74 always @(posedge clk or negedge rst_n)begin
75     if(rst_n==1'b0)
76         out_vld <= 0;
77     else if((state==S2 && in==2) || (state==S3 && in!=0))
78         out_vld <= 1;
79     else
80         out_vld <= 0;
81 end
82 
83 
84 endmodule

仿真波形如下所示:

结论:波形和预想一致!

 

四、状态机名称查看器

  可以看到,我的Modelsim波形中出现了一个信号state_name,里面显示了状态机的名称,这是怎么做到的呢?方法有很多种,这里介绍两种。

  1. testbench法

  testbench里增加一段参数转ASCII码的代码,如下所示:

 1 //----------------------------------------------------------------------
 2 //--   状态机名称查看器
 3 //----------------------------------------------------------------------
 4 localparam S0           = 4'b0001           ;
 5 localparam S1           = 4'b0010           ;
 6 localparam S2           = 4'b0100           ;
 7 localparam S3           = 4'b1000           ;
 8 //2字符16位
 9 reg [15:0]              state_name          ;
10 
11 always@(*)begin
12     case(u_FSM_3.state_c)
13         S0:     state_name = "S0";
14         S1:     state_name = "S1";
15         S2:     state_name = "S2";
16         S3:     state_name = "S3";
17         default:state_name = "S0";
18     endcase
19 end

  在Modelsim中点击信号state_name,右键选择用ASSIC码查看就可以看到状态机的名称,而不再是头疼的的0001、0010等字符。编写时注意一下位宽,一个ASSIC码字符宽度是8位,例如“S0”有2个字符则需要16位宽。

 

   2. do文件法(tcl文件也是一样的)

  首先你得学会怎么使用Modelsim的自动化脚本仿真,那么我们只要再do文件中加入这段代码即可:

 1 # ======================================================================
 2 # ==   状态机名称查看器
 3 # ======================================================================
 4 
 5 # 结构体设置
 6 virtual type {
 7     {4'b0001 S0}
 8     {4'b0010 S1}
 9     {4'b0100 S2}
10     {4'b1000 S3}
11 } fsm_type;
12 
13 # 结构体和信号名关联,命名为state_name
14 virtual function {(fsm_type)/fsm_tb/u_fsm/state} state_name

 

 

参考资料:

[1]小梅哥FPGA教程

[2]威三学院FPGA教程

[3]吴厚航. 深入浅出玩转FPGA[M]. 北京航空航天大学出版社, 2013.

[4]夏宇闻. Verilog数字系统设计教程.第3版[M]. 北京航空航天大学出版社, 2013.

[5]韩彬, 于潇宇, 张雷鸣. FPGA设计技巧与案例开发详解[M]. 电子工业出版社, 2014.

转载于:https://www.cnblogs.com/xianyufpga/p/11006113.html

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. Android 自定义布局之组合部件

    一 .组合布局 1.继承你要重写的类。在里面添加,你需要添加你想要的方法。 (通过构造方法的反复调用)public class Radiopreference extends CheckBoxPreference {private String mRadioGroup;public Radiopreference(Context context) {this(context, null);}public Radiopre…...

    2024/4/20 1:57:58
  2. textarea高度自适应增高;chrome下clientHeight,offsetHeight和scrollHeight

    以下是相关代码<!DOCTYPE html> <html lang="en"><head><meta charset="UTF-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta http-equiv="X-UA-Compatible&…...

    2024/4/18 4:17:14
  3. 微信小程序开发详解——项目结构分析和页面的框架结构MINA(MVVM)

    文件结构在我们新建小程序项目的时候,工具会默认给我们新建一些文件,这也是小程序的基本文件结构。除了一些基本结构以外,我们可以根据自己的需求新建目录。小程序包含一个描述整体程序的 app 和多个描述各自页面的 page。1.一个小程序主体部分由三个文件组成,必须放在项目…...

    2024/4/20 16:07:15
  4. 【起航计划 029】2015 起航计划 Android APIDemo的魔鬼步伐 28 App-Preferences-Default Values 偏好默认值

    DefaultValues 介绍了如何在XML中定义Preference的缺省值。<CheckBoxPreferenceandroid:key="default_checkbox"android:defaultValue="true"android:title="@string/title_checkbox_preference"android:summary="@string/summary_check…...

    2024/5/1 7:25:00
  5. 集DDD,TDD,SOLID,MVVM,DI,EF,Angularjs等于一身的.NET(C#)开源可扩展电商系统–Virto Commerce...

    今天一大早来看到园友分享的福利《分享一个前后端分离方案源码-前端angularjs+requirejs+dhtmlx 后端asp.net webapi》,我也来分享一个吧。以下内容由笔者写于昨天晚上[2015.10.08]。 《集DDD,TDD,SOLID,MVVM,DI,EF,Angularjs等于一身的.NET(C#)开源可扩展电商系统–Virto Com…...

    2024/5/1 8:13:39
  6. Verilog 系统任务 $random

    Verilog 系统任务 $random 这个系统函数提供了一个产生随机数的手段。当函数被调用时返回一个32bit的随机数。它是一个带符号的整形数。 第一种用法:$random % b 其中b>0,它给出了一个范围在(-b+1):(b-1)中的随机数。 第二种用法:{$random} % b b>0,它给出了一个范围…...

    2024/4/17 23:43:29
  7. python之subprocess.Popen常用案例

    import subprocess#最基本的启动进程方式类似cmd下执行: notepad.exe text.txt 命令 obj = subprocess.Popen([notepad.exe,text.txt], shell = True, stdin=subprocess.PIPE, stdout=subprocess.PIPE ,stderr=subprocess.PIPE) print(obj.stderr.read().decode(gbk))#进入某个…...

    2024/4/25 19:21:05
  8. 嵌入机器学习的微信小程序实践(一)—— 微信小程序开发准备

    微信小程序开发准备 微信小程序简介 微信小程序的优点在于:轻量级和使用便利。小程序设计的初衷是用完即走,平均使用时长短,不占用用户存储空间。 依托于微信平台。微信又对小程序开放了大量的入口,如搜索,附近小程序,二维码扫描等。 开发成本低。跨越安卓和IOS平台,降低…...

    2024/4/17 23:34:23
  9. android4.4动态隐藏NavigationBar

    最近试了下动态隐藏NavigationBar作下记录 在设置->显示里增加控制动态显示与隐藏Navigationbar的功能。 首先修改设置->显示增加相关图形界面 修改文件系统源码packages/apps/Settings/res/xml/display_settings.xml中增加<!--add by hclydao--><CheckBoxPrefe…...

    2024/4/19 12:45:35
  10. Python/Subprocess_Module

    Python/subprocess_moduleThe subprocess module allows you to spawn new processes, connect to their input/output/error pipes, and obtain their return codes. This module intends to replace several older modules and functions: 子流程模块允许生成新流程,连接到它…...

    2024/4/19 0:50:24
  11. Android开源库V - Layout,适用于电商首页、活动页多布局的Layout

    转载至:http://blog.csdn.net/carson_ho/article/details/71077193 感谢Carson_Ho分享前言 V- Layout 是阿里出品的基础 UI 框架,用于快速实现页面的复杂布局,在手机天猫 Android版 内广泛使用 让人激动的是,在上个月V- Layout终于在Github上开源! Github - alibaba - v…...

    2024/4/17 23:49:23
  12. 对Javascript中滚动属性(scrollTop,scrollLeft,scrollHeight,scrollWidth)的解释和相关DOM操作

    当我们进行网页开发到时候,有时候会出现容器里面的内容超过容器本身高度的情况,这时候就会有滚动,接下来就对有关滚动的相关属性和操作发表一下我个人的观点。scrollTop:scrollTop指的是“容器中的内容”超出“容器上边界”的那部分我们“看不见的高度”。也就是说scrollTop…...

    2024/4/17 23:44:35
  13. Verilog中关于case语句的优先级

    对于这样的组合逻辑电路 always@(X) case(X) X1: X2: …… endcase 如果分支项包含变量X的所有取值情况,并且互相不重复,那么这样的情况,其实没有必要使用综合指令。(一)“//synthesis parallel_case” 有一些书在介绍case语句时(例如《verilog HDL综合实用教程》)说“c…...

    2024/4/17 23:46:35
  14. Agilent ADS中Verilog-A学习

    转载自http://uniqwu.blogbus.com/logs/22388154.html学了几天的Verilog-A,平台是Agilent ADS,主要参考“Verilog-AMS Language Reference Manual”和ADS的帮助文档。现在的状态算是入门了,写了个简单的PLL。总结这几天的学习,觉得效率太低,我以前有一定Verilog基础,研一…...

    2024/4/17 23:48:23
  15. 六祎-转载-OpenCart电商搭建指导(含中文包安装)

    OpenCart电商搭建指导(含中文包安装)【摘要】 利用华为云和已封装好的电商系统,可快速搭建电商平台,无需自己操作繁琐的安装。整个操作步骤非常简单,1分钟即可申请:1.先进入产品订购页面,订购OpenCart电商的镜像,支付,等待资源创建成功;2.随后开放相应的安全组访问端…...

    2024/4/23 13:08:56
  16. 微信小程序版个人博客及简历---面试加分

    WeChatMiniProgram-Blog 微信小程序初体验 微信小程序版的个人博客及简历 说明: 本项目为小程序入门项目,需要一定的前端基础及一点后端知识,项目可能不太好,欢迎大家吐槽,纯萌新小白勿入,最后祝大家Coded愉快。 想获取最新项目信息,请访问:https://github.com/CrazyNi…...

    2024/4/17 23:47:35
  17. Preference 跳转至某个位置

    该代码是参考android Settings里面在安装app时没有打开“安装未知来源应用” 时根据系统提示跳转至这个开关处: import android.os.Bundle; import android.os.Handler; import android.preference.CheckBoxPreference; import android.preference.Preference; import android…...

    2024/4/17 23:48:29
  18. clientHeight、scrollHeight、offsetHeight都是些啥?

    前段时间写一个自动滚动+鼠标放上去可供滚动的页面功能,被元素的几个属性搞的有点晕乎晕乎的,下决心来搞明白是什么意思,先上示例代码:<style>.father{width: 500px;height: 400px;border: 50px solid blue;border-color: red; background: blue;overflow: scroll;}.…...

    2024/4/17 23:48:23
  19. Android:SwitchPreference的相关知识

    SwitchPreference是CheckBoxPreference的升级版,用于显示两种不同的状态,继承自TwoStatePreference。通常在系统自带应用中使用,比如说Settings。 SwitchPreference属性: android:key : 每个Preference控件独一无二的"ID",唯一表示此Preference。 android:defau…...

    2024/4/27 21:41:55
  20. 微信小程序实现条形码,二维码生成和微信小程序扫码

    一:条形码,二维码生成在微信小程序中生成条形码和二维码需要使用到wxbarcode的npm包,wxbarcode的npm包地址:https://www.npmjs.com/package/wxbarcode1:安装wxbarcodenpm i wxbarcode -S --production在微信小程序项目中使用npm包参考:https://www.wj0511.com/site/detail…...

    2024/4/17 23:58:19

最新文章

  1. 利用word2vec包将中文转变为词向量

    代码展示&#xff1a; import jieba import re import json import logging import sys import gensim.models as word2vec from gensim.models.word2vec import LineSentence, loggerpattern u[\\s\\d,.<>/?:;\\"[\\]{}()\\|~!\t"#$%^&*\\-_a-zA-Z&…...

    2024/5/1 12:50:55
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. CTF之矛盾

    这一题就是php的弱比较“” 这里要求输入的不是数字&#xff0c;并且输入要为1才打印flag 那我们就输入一个1后面接随便什么字符&#xff0c;因为php的弱比较将字符与数字进行比较的时候&#xff0c;会把字符转换成数字再比较&#xff0c;当转换到字符时后面便都为空了 flag{…...

    2024/4/29 23:19:19
  4. 【Locust分布式压力测试】

    Locust分布式压力测试 https://docs.locust.io/en/stable/running-distributed.html Distributed load generation A single process running Locust can simulate a reasonably high throughput. For a simple test plan and small payloads it can make more than a thousan…...

    2024/5/1 5:19:39
  5. 与机器对话:ChatGPT 和 AI 语言模型的奇妙故事

    原文&#xff1a;Talking to Machines: The Fascinating Story of ChatGPT and AI Language Models 译者&#xff1a;飞龙 协议&#xff1a;CC BY-NC-SA 4.0 从 ELIZA 到 ChatGPT&#xff1a;会话式人工智能的简史 会话式人工智能是人工智能&#xff08;AI&#xff09;的一个分…...

    2024/5/1 5:11:02
  6. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/4/29 23:16:47
  7. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/4/30 18:14:14
  8. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/4/29 2:29:43
  9. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/4/30 18:21:48
  10. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/4/27 17:58:04
  11. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/4/27 14:22:49
  12. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/4/28 1:28:33
  13. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/4/30 9:43:09
  14. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/4/27 17:59:30
  15. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/4/25 18:39:16
  16. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/4/28 1:34:08
  17. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/4/26 19:03:37
  18. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/4/29 20:46:55
  19. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/4/30 22:21:04
  20. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/5/1 4:32:01
  21. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/4/27 23:24:42
  22. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/4/28 5:48:52
  23. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/4/30 9:42:22
  24. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/4/30 9:43:22
  25. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/4/30 9:42:49
  26. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  27. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  28. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  29. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  30. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  31. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  32. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  33. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  34. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  35. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  36. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  37. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  38. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  39. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  40. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  41. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  42. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  43. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  44. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  45. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57