可执行MIPS指令的单周期CPU

@Marphownio原创

文章目录

  • 可执行MIPS指令的单周期CPU
    • 一、任务说明
    • 二、部件设计及其仿真
      • 1、程序计数器PC
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 2、PC地址改变器PCadder
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 3、寄存器读写单元regfile
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 4、立即数扩展单元Extend
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 5、运算单元ALU
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 6、5位二选一部件MUX5
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 7、32位二选一部件MUX32
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 8、ALU译码器Aludecoder
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
      • 9、控制信号单元Controlunit
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)指令表
        • 5)MIPS指令控制型号组合
        • 6)仿真代码
        • 7)仿真波形图
        • 8)仿真说明
      • 10、指令存储器Imem
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)MIPS指令说明
        • 5)仿真代码
        • 6)仿真波形图
        • 7)仿真说明
      • 11、数据内存Dmem
        • 1)代码
        • 2)部件功能
        • 3)实现思路
        • 4)仿真代码
        • 5)仿真波形图
        • 6)仿真说明
    • 三、单周期CPU
      • 1、CPU的功能
      • 2、顶层文件top
        • 1)代码
        • 2)电路图
        • 3)模块实现
      • 3、MIPS模块
        • 1)代码
        • 2)电路图
        • 3)模块实现
      • 4、datapath模块
        • 1)代码
        • 2)电路图
        • 3)模块实现
      • 5、controler模块
        • 1)代码
        • 2)电路图
        • 3)模块实现
      • 6、仿真
        • 1)testbench
        • 2)仿真波形图
        • 2)仿真说明
          • 1、第一周期(add):
          • 2、第二周期(sub):
          • 3、第三周期(and):
          • 4、第四周期(or):
          • 5、第五周期(slt):
          • 6、第六周期(addi):
          • 7、第七周期(andi):
          • 8、第八周期(ori):
          • 9、第九周期(slti):
          • 10、第十周期(sw):
          • 11、第十一周期(lw):
          • 12、第十二周期(j):
          • 13、第十三周期(nop):

一、任务说明

本项目需要完成一个能够实现指定MIPS指令的单周期CPU。
1.该CPU需能执行13个MIPS指令:add,sub,and,or,slt,addi,andi,ori,slti,sw,lw,j,nop。
2. MIPS指令输入方式不做特殊要求。
3. 项目中设计的存储空间通过数组来得到。
4. 除了PC相对寻址不做要求外,其他寻址模式都必须得到支持。
5. 最后的波形仿真应当采用功能仿真,且所有存储器件中的数据都应当被显示。

二、部件设计及其仿真

1、程序计数器PC

1)代码

module PC(adress_in,adress_out,clk,reset,PCWre);
parameter width=32;//PC位宽为32
input [width-1:0] adress_in;
input clk,reset,PCWre;//pc为1时,正常输出。
output reg [width-1:0] adress_out;always@(posedge clk,posedge reset)
begin
if (reset)begin  adress_out=0;  end// 如果重置,赋值为0
else begin  if (PCWre) begin  adress_out = adress_in;  end//PCWre为1时,pc正常改变,否则保持else       begin  adress_out = adress_out; endend
end
endmodule

2)部件功能

PC存储着CPU中MIPS指令的地址,通过CPU通过PC来读取存储在Instruction Memeory中的MIPS指令。pc值的改变即代表指令的改变。

3)实现思路

在每个时钟上升沿的时候,如果PCWre的值为1,则PC将新输入的指令地址adress_in赋值给adress_out作为输出;如果PCWre的值为0,adress_out则保持原来的值不变。在reset值为1时,PC初始化,为adress_out赋初值为0,reset为0时则不进行操作。

4)仿真代码

module testbench();
reg clk,reset,PCWre;
reg [31:0]adress_in;
wire [31:0]adress_out;
PC pc(adress_in,adress_out,clk,reset,PCWre);
always #10 clk=~clk;
initial 
begin
clk=0;
reset=0;
PCWre=1;
adress_in=32'b0;
#30 adress_in=32'b1;
#20 adress_in=32'b0;PCWre=0;
#20 adress_in=32'b1;
reset=1;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

可以看到,在仿真第一第二个周期时,每次遇到clk时钟的上升沿时,adress_out=adress_in,并且输出;在第三个周期时,由于 PCWre=0,adress_out保持不变;在第四个周期时,由于 reset=1,adress_out清零。部件验证正确。

2、PC地址改变器PCadder

1)代码

module PCADDER(clk,pc,adress,PCsrc,y);
input clk;
input [31:0] pc;
input [25:0] adress;
input PCsrc;
output reg [31:0] y;
always@(*)
begin
y=pc+4;
if (PCsrc) begin y[27:2]=adress;y[1:0]=2'b00;end
end
endmodule

2)部件功能

在CPU中,PCadder改变每个时钟周期内pc的值,从而读取不同的MIPS指令,同时可以实现PC的伪寻址。

3)实现思路

在每个时钟上升沿的时候,如果PCsrc的值为0,考虑到Instruction Memeory中的MIPS指令都是以8位分段进行存储的,因此每次为pc值加4后输出;如果PCsrc的值为1,则在pc值加4的基础上,让pc[1:0]=2’b00(即是左移两位),让pc[27:0]等于跳转的26位地址adress,完成PC的伪寻址操作,这一步对应J指令。

4)仿真代码

module testbench();
reg clk,PCsrc;
reg [31:0]pc;
reg [35:0]adress;
wire [31:0]y;
PCADDER pcadder(clk,pc,adress,PCsrc,y);
always #10 clk=~clk;
initial 
begin
clk=0;
PCsrc=0;
adress=26'b1;
pc=32'b0;
#30 pc=32'b0000_0000_0000_0000_0000_0000_0000_0100;
#20 pc=32'b0000_0000_0000_0000_0000_0000_0000_1000;
#20 PCsrc=1;
#20 #20 pc=32'b0000_0000_0000_0000_0000_0000_0001_0000;
PCsrc=0;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

可以看到,在仿真第一第二个周期时,每次遇到clk时钟的下降沿时,y=pc+4,并且输出;在第三个周期时,由于 PCsrc=1,进行pc的伪寻址,由于adress=1,因此伪寻址后,输出y=4;在第四个周期时,由于PCsrc=0,y=pc+4。部件验证正确。

3、寄存器读写单元regfile

1)代码

module REGIFILE(RegWre,clk,reg1_adress,reg2_adress,write_data,reg1_data,reg2_data,write_reg);
input RegWre,clk;
input [4:0] reg1_adress, reg2_adress,write_reg;
input [31:0] write_data;
output [31:0] reg1_data,reg2_data;
reg [31:0] value [31:0];
integer i;
initial 
begin 
for(i=0;i<32;i=i+1)value[i]=i;
end                   
assign  reg1_data=value[reg1_adress];
assign  reg2_data=value[reg2_adress];
always@(negedge clk)
begin
if(RegWre==1 && write_reg!=0) begin value[write_reg]=write_data; end
end
endmodule

2)部件功能

寄存器读写单元,内部定义了一个32位深、32位宽的数组value,在CPU中用于存储数据,并可以根据地址读、写对应的数据。

3)实现思路

初始化模块时,为该模块的32个位宽32的数组依次赋值为0~31,以便于仿真时确定代码的正确性。

模块工作时,寄存器可以通过MIPS指令中给定的地址reg1_adress与reg2_adress,输出相应的数据reg1_data与reg2_data。并且在下降沿时,若RegWre=1,且当前写入地址write_reg不等于0,则对寄存器进行写入操作,将输入的数据write_data赋值给value[write_reg];反之,则不进行任何操作。

4)仿真代码

module testbench();
reg clk,RegWre;
reg [4:0]reg1_adress,reg2_adress,write_reg;
reg [31:0]write_data;
wire [31:0]reg1_data,reg2_data;
REGIFILE regfile(RegWre,clk,reg1_adress,reg2_adress,write_data,reg1_data,reg2_data,write_reg);
always #10 clk=~clk;
initial 
begin
clk=0;
RegWre=0;
reg1_adress=0;
#10 reg2_adress=5'b00001;
#10 reg2_adress=5'b00010;
#10 reg2_adress=5'b00100;
#10 reg2_adress=5'b01000;
#10 reg2_adress=5'b10000;
#20
RegWre=1;
write_data=5'b00001;
write_reg=0;
#20
RegWre=1;
write_data=5'b0000;;
write_reg=1;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

在前两个周期时,由仿真文件可以看到,当reg2_adress分别对应1、2、4、8时,reg2_data也相应1、2、4、8;在第三个周期时,由于 RegWre=1,但write_reg=0,因此未进行写入操作;在第四个周期时,RegWre=1,且write_reg=1,可以进行写入操作。部件验证正确。

4、立即数扩展单元Extend

1)代码

module EXTEND(ExtSel,immediate,extendImmediate);
input ExtSel;              // 控制补位,如果为1,进行符号扩展,如果为0,全补0
input [15:0] immediate;      // 16位立即数
output [31:0] extendImmediate;// 进行扩展
assign extendImmediate[15:0] = immediate;
assign extendImmediate[31:16] = ExtSel ? (immediate[15] ? 16'hffff : 16'h0000) : 16'h0000;
endmodule

2)部件功能

在CPU中,根据需要,可为输入的立即数immediate进行符号位扩展或无符号扩展,并将扩展后的立即数输出。

3)实现思路

模块工作时,模块将输入的16位立即数赋值给extendImmediate[15:0] 。若ExtSel=1,则对该立即数进行符号位扩展,将立即数的最高位全部赋值给extendImmediate[31:16];反之,则进行无符号扩展,为extendImmediate[31:16]全部赋值为0。

4)仿真代码

module testbench();
reg ExtSel;             
reg [15:0] immediate;   
wire [31:0] extendImmediate;
EXTEND extend(ExtSel,immediate,extendImmediate);
initial 
begin
#10
ExtSel=0;
immediate=16'b1000_0000_0000_0000;
#10
ExtSel=1;
immediate=16'b1000_0000_0000_0000;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由仿真文件可以看到,当ExtSel=0时,immediate进行无符号扩展,extendImmediate =32’b11111111111111111000000000000000;当ExtSel=1时,immediate进行符号扩展,extendImmediate=32’b11111111111111111000000000000000。部件验证正确。

5、运算单元ALU

1)代码

module ALU(A_in,B_in,F_out,op);
input [31:0] A_in;
input [31:0] B_in;
input [2:0] op;
output reg [31:0] F_out;
always @(*)begincase(op)3'b000: begin  F_out=A_in+B_in; end //ADD3'b001: begin  F_out=A_in-B_in; end //SUB3'b010: begin  F_out=(A_in<B_in)? 32'b1: 32'b0; end  //SLT3'b011: begin  F_out=A_in&B_in; end //AND3'b100: begin  F_out=A_in|B_in; end //OR3'b101: begin  F_out=F_out; end  //NOP 、Jendcase
end
endmodule

2)部件功能

根据需要,为输入的32位数据iA_in与B_in进行相应的运算,并将运算的结果通过F_out输出,不考虑进位。根据本project需要实现的MIPS指令,该模块可实现+、-、&、|、大小比较5种运算。在CPU中,可以对regfile文件中存储的数值进行运算,也可以对扩展后的立即数与regfile文件中存储的数值进行运算已达到寻址的功能。

3)实现思路

模块工作时,当op发生改变时,通过case语句对op进行判断,以采取相应的运算,其具体对应关系如代码所示。

4)仿真代码

module testbench();
reg [31:0] A_in;
reg [31:0] B_in;
reg [2:0] op;
wire [31:0] F_out;
ALU alu(A_in,B_in,F_out,op);
initial 
begin
A_in=32'b0000_0000_0000_0000_0000_0000_0000_1001;
B_in=32'b0000_0000_0000_0000_0000_0000_0000_0110;
op=3'b000;
#10
op=3'b001;
#10
op=3'b010;
#10
op=3'b011;
#10
op=3'b100;
#10
op=3'b101;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由仿真文件可以看到,初始化时,A_in=1001,B_in=110。每次改变op的值,则选择不同的运算,并将最终正确的运算结果通过F_out输出。当op=101时,F_out保持不变。部件验证正确。

6、5位二选一部件MUX5

1)代码

module MUX5(control,in1,in0,out);
input control;
input [4:0] in1;
input [4:0] in0;
output [4:0] out;
assign out = control ? in1 : in0;
endmodule

2)部件功能

根据需要,在两个输入in1与in0中选择其中一个进行输出。该部件在CPU中,主要负责对regfile的输入地址write_reg进行选择。

3)实现思路

若control=1,输出in1,反之输出in0。

4)仿真代码

module testbench();
reg control;
reg [4:0] in1;
reg [4:0] in0;
wire [4:0] out;
MUX5 mux5(control,in1,in0,out);
initial 
begin
in1=5'b0_1001;
in0=5'b0_0110;
control=0;
#10
control=1;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由仿真文件可以看到,初始化时in1=5’b0_1001,in0=5’b0_0110。当control=1时,输出in1;当control=0时,输出in0。部件验证正确。

7、32位二选一部件MUX32

1)代码

module MUX32(control,in1,in0,out);
input control;
input [31:0] in1;
input [31:0] in0;
output [31:0] out;
assign out = control ? in1 : in0;
endmodule

2)部件功能

根据需要,在两个输入in1与in0中选择其中一个进行输出。该部件在CPU中,负责对regfile的输入数据write_data进行选择,也负责对ALU的输入B_in进行选择。

3)实现思路

若control=1,输出in1,反之输出in2。

4)仿真代码

module testbench();
reg control;
reg [31:0] in1;
reg [31:0] in0;
wire [31:0] out;
MUX32 mux32(control,in1,in0,out);
initial 
begin
in1=32'b0000_0000_0000_0000_0000_0000_0000_1001;
in0=32'b0000_0000_0000_0000_0000_0000_0000_0110;
control=0;
#10
control=1;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由仿真文件可以看到,初始化时in1=32’b0000_0000_0000_0000_0000_0000_0000_1001、
in0=32’b0000_0000_0000_0000_0000_0000_0000_0110。当control=1时,输出in1;当control=0时,输出in0。部件验证正确。

8、ALU译码器Aludecoder

1)代码

module ALUDECODER(funct,op,ALUOp);
input [5:0]funct;
input [2:0]ALUOp;
output reg [2:0]op;
always@(*)
case(ALUOp)
3'b000: begincase(funct)6'b100000: op=3'b000; //ADD6'b100010: op=3'b001; //SUB6'b101010: op=3'b010; //SLT6'b100100: op=3'b011; //AND6'b100101: op=3'b100; //OR6'b000000: op=3'b101; //NOPdefault: op=3'b101; //DEFAULTendcaseend
3'b001: begin  op=3'b000; end  //ADDI         
3'b010: begin  op=3'b011; end  //ANDI  
3'b011: begin  op=3'b100; end  //ORI 
3'b100: begin  op=3'b010; end  //SLTI
3'b101: begin  op=3'b000; end  //lw
3'b110: begin  op=3'b000; end  //sw
3'b111: begin  op=3'b101; end  //j
endcase
endmodule

2)部件功能

在CPU中,可以根据ALUOp指令与funct的值,对ALU发出对应的运算指令,从而保障CPU的正常工作。

3)实现思路

通过case语句,为不同ALUOp指令值的情况匹配不同的运算。由于R型指令的op值都为0,ALUOp的值也相同。因此,在ALUOp=3‘b000的情况下,通过case语句,为不同funct值匹配不同的运算。case语句的对应关系如代码所示。

4)仿真代码

module testbench();
reg [5:0]funct;
reg [2:0]ALUOp;
wire [2:0]op;
ALUDECODER aludecoder(funct,op,ALUOp);
initial 
begin
#10
ALUOp=3'b000;
funct=6'b100000;
#10
ALUOp=3'b000;
funct=6'b100010;
#10
ALUOp=3'b000;
funct=6'b101010;
#10
ALUOp=3'b000;
funct=6'b100100;
#10
ALUOp=3'b000;
funct=6'b100101;
#10
ALUOp=3'b000;
funct=6'b000000;
#10
ALUOp=3'b001;
#10
ALUOp=3'b010;
#10
ALUOp=3'b011;
#10
ALUOp=3'b100;
#10
ALUOp=3'b101;
#10
ALUOp=3'b110;
#10
ALUOp=3'b111;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由波形图可以看出,在不同的funct、ALUOp的组合下,op输出了相应的正确指令。此处不赘述funct、ALUOp与op的对应关系,详细信息请看源代码。该部件验证正确。

9、控制信号单元Controlunit

1)代码

module CONTROUNIT(//输入input clk,input [5:0] op,         // op操作符// 控制信号输出output reg PCSrc,           // 0时,pc+4,1时,pc伪寻址output reg PCWre,           // PC是否更改,如果为0,PC不更改output reg ALUSrcB,         // 多路选择器,ALU运算结果与memory数据的选择,1为alu的运算结果,0为memory数据output reg ALUM2Reg,        // 多路选择器,1为Q2,0为立即数output reg RegWre,          // (RF)写使能信号,为1时写入output reg DataMemRW,       // (DM)数据存储器读写控制信号,为1写,为0读output reg ExtSel,          // (EXT)控制补位,如果为1,进行符号扩展,如果为0,全补0output reg RegOut,          // rt与rd的选择,1为rd,0为rtoutput reg [2:0] ALUOp      // (ALU)ALU操作控制 
);
initial
begin
PCWre = 0;
ALUSrcB = 0;
ALUM2Reg = 0;
RegWre = 0;
DataMemRW = 0;
ExtSel = 0;
PCSrc = 0;
RegOut = 0;
ALUOp = 3'b111;
end
always@(*)begin  case(op) // R型指令add sub,and,or,slt,nop6'b000000:begin  PCWre = 1;ALUSrcB = 1;ALUM2Reg = 1;RegWre = 1;DataMemRW = 0;ExtSel = 0;PCSrc = 0;RegOut = 1;ALUOp = 3'b000;end// addi6'b001000:begin   PCWre = 1;ALUSrcB = 1;ALUM2Reg = 0;RegWre = 1;DataMemRW = 0;ExtSel = 1;PCSrc = 0;RegOut = 0;ALUOp = 3'b001;end// andi6'b001100:begin   PCWre = 1;ALUSrcB = 1;ALUM2Reg = 0;RegWre = 1;DataMemRW = 0;ExtSel = 0;PCSrc = 0;RegOut = 0;ALUOp = 3'b010;end// ori6'b001101:begin   PCWre = 1;ALUSrcB = 1;ALUM2Reg = 0;RegWre = 1;DataMemRW = 0;ExtSel = 0;PCSrc = 0;RegOut = 0;ALUOp = 3'b011;end// slti6'b001010:begin   PCWre = 1;ALUSrcB = 1;ALUM2Reg = 0;RegWre = 1;DataMemRW = 0;ExtSel = 0;PCSrc = 0;RegOut = 0;ALUOp = 3'b100;end// sw写6'b101011:begin   PCWre = 1;ALUSrcB = 0;ALUM2Reg = 0;RegWre = 1;DataMemRW = 0;ExtSel = 1;PCSrc = 0;RegOut = 0;ALUOp = 3'b101;end// lw读6'b100011:begin   PCWre = 1;ALUSrcB = 1;ALUM2Reg = 0;RegWre = 0;DataMemRW = 1;ExtSel = 1;PCSrc = 0;RegOut = 0;ALUOp = 3'b110;end// j6'b000010:begin   PCWre = 1;ALUSrcB = 0;ALUM2Reg = 0;RegWre = 0;DataMemRW = 0;ExtSel = 0;PCSrc = 1;RegOut = 0;ALUOp = 3'b111;endendcaseend
endmodule

2)部件功能

根据MIPS指令中op值,对CPU中的各个部件发出对应的工作指令。

3)实现思路

通过case语句,为不同op值的情况匹配不同的控制型号组合。

4)指令表

指令功能
PCWre控制pc的改变:若值为1,pc正常改变;若值为0,pc保持
ALUSrcB控制32位2x1选择器:若值为1,选择ALU运算结果;若值为0,选择Data Memory存储的数据
ALUM2Reg控制32位2x1选择器:若值为1,选择reg2_data;若值为0,选择扩展后的立即数extendImmediate
RegWre控制regfile的读写操作:若值为1,写入数据;若值为0,不进行写入
DataMemRW控制数据存储器(DM)的读写操作:若值为1,写入数据;若值为0,读出数据
ExtSel控制立即数扩展操作:若值为1,进行符号扩展;若值为0,进行无符号扩展
PCSrc控制pc的寻址操作:若值为1,pc进行伪寻址;若值为0,pc+4
RegOut控制5位2x1选择器:若值为1,选择;若值为0,选择rt
ALUOp控制ALUdecoder,从而选择不同的运算操作,具体对应关系见代码

5)MIPS指令控制型号组合

MIPS指令PCWreALUSrcBALUM2RegRegWreDataMemRWExtSelPCSrcRegOutALUOp
nop10000000000
add11110001000
sub11110001000
and11110001000
or11110001000
slt11110001000
addi11010100001
andi11010000010
ori11010000011
slti11010000100
sw10010100101
lw11001100110
j10000010111

6)仿真代码

module testbench();
reg clk;
reg [5:0] op;   
wire PCSrc;       
wire PCWre;     
wire ALUSrcB;   
wire ALUM2Reg;     
wire RegWre;     
wire DataMemRW;  
wire ExtSel;
wire RegOut;    
wire [2:0] ALUOp;
CONTROUNIT controunit(clk,op,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut,ALUOp);
always #10 clk=~clk; 
initial 
begin
clk=0;
#10
op=6'b000000;
#10
op=6'b001000; 
#10
op=6'b001100; 
#10
op=6'b001101; 
#10
op=6'b001010; 
#10
op=6'b100011; 
#10
op=6'b101011; 
#10
op=6'b000010; 
end
endmodule

7)仿真波形图

在这里插入图片描述

8)仿真说明

由波形图可以看出,对于不同的op值,程序正确输出了不同的控制信号组合。至于不同的op与各个信号的对应关系,详细信息请看源代码。部件验证正确。

10、指令存储器Imem

1)代码

module IMEM(IADDR,op,rs,rt,rd,sa,funct,immed,target);
parameter width=32;
input [width-1:0] IADDR;
output reg [5:0] op;
output reg [4:0] rs;
output reg [4:0] rt;
output reg [4:0] rd;
output reg [4:0] sa;
output reg [5:0] funct;
output reg [15:0] immed;
output reg [25:0] target;
reg [7:0]RAM[63:0];//32位宽,32位深的存储单位RAM。
initial
begin
RAM[0]=8'b00000000;
RAM[1]=8'b00100010;
RAM[2]=8'b11111000;
RAM[3]=8'b00100000;
RAM[4]=8'b00000000;
RAM[5]=8'b10000011;
RAM[6]=8'b11111000;
RAM[7]=8'b00100010;
RAM[8]=8'b00000000;
RAM[9]=8'b11000101;
RAM[10]=8'b11111000;
RAM[11]=8'b00100100;
RAM[12]=8'b00000000;
RAM[13]=8'b11101000;
RAM[14]=8'b11111000;
RAM[15]=8'b00100101;
RAM[16]=8'b00000001;
RAM[17]=8'b00101010;
RAM[18]=8'b11111000;
RAM[19]=8'b00101010;
RAM[20]=8'b00100001;
RAM[21]=8'b01111111;
RAM[22]=8'b00000000;
RAM[23]=8'b00000100;
RAM[24]=8'b00110001;
RAM[25]=8'b10011111;
RAM[26]=8'b00000000;
RAM[27]=8'b00001000;
RAM[28]=8'b00110101;
RAM[29]=8'b10111111;
RAM[30]=8'b00000000;
RAM[31]=8'b00001100;
RAM[32]=8'b00101001;
RAM[33]=8'b11011111;
RAM[34]=8'b00000000;
RAM[35]=8'b00010000;
RAM[36]=8'b10101100;
RAM[37]=8'b01001111;
RAM[38]=8'b00000000;
RAM[39]=8'b00000100;
RAM[40]=8'b10001110;
RAM[41]=8'b00011111;
RAM[42]=8'b00000000;
RAM[43]=8'b00001000;
RAM[44]=8'b00001000;
RAM[45]=8'b00000000;
RAM[46]=8'b00000000;
RAM[47]=8'b00001101;
RAM[48]=8'b00000000;
RAM[49]=8'b00000000;
RAM[50]=8'b00000000;
RAM[51]=8'b00000000;
RAM[52]=8'b00000000;
RAM[53]=8'b00000000;
RAM[54]=8'b00000000;
RAM[55]=8'b00000000;
RAM[56]=8'b00000000;
RAM[57]=8'b00000000;
RAM[58]=8'b00000000;
RAM[59]=8'b00000000;
RAM[60]=8'b00000000;
RAM[61]=8'b00000000;
RAM[62]=8'b00000000;
RAM[63]=8'b00000000;
end
always@(*)
begin
//输出。
op=RAM[IADDR][7:2];
rs[4:3]=RAM[IADDR][1:0];
rs[2:0]=RAM[IADDR+1][7:5];
rt=RAM[IADDR+1][4:0];
rd=RAM[IADDR+2][7:3];
sa[4:2]=RAM[IADDR+2][2:0];
sa[1:0]=RAM[IADDR+3][7:6];
funct=RAM[IADDR+3][5:0];
immed[15:8]=RAM[IADDR+2];
immed[7:0]=RAM[IADDR+3];
target[25:24]=RAM[IADDR][1:0];
target[23:16]=RAM[IADDR+1];
target[15:8]=RAM[IADDR+2];
target[7:0]=RAM[IADDR+3];
end
endmodule

2)部件功能

在CPU中,根据IADDR(pc)的值,输出对应的MIPS指令,从而使得CPU正常运行。

3)实现思路

模块中定义了一个8位宽、64位深的数组RAM。初始化时,为数组赋初值,即本cpu需要运行的全部MIPS指令。工作时,根据IADDR(pc)的值,就可以找到对应的MIPS指令,并将MIPS指令不同的功能区段,分别通过op、rs、rt、rd、sa、funct、immed、target进行输出。

4)MIPS指令说明

//在本cpu中,依次需要执行以下指令
//add $1,$2 $31
000000 00001 00010 11111 00000 100000
//sub $4, $3, $31
000000 00100 00011 11111 00000 100010
//and $6, $5, $31
000000 00110 00101 11111 00000 100100
//or $7, $8, $31
000000 00111 01000 11111 00000 100101
//slt $9, $10, $31
000000 01001 01010 11111 00000 101011
//addi $11, $31, 4
001000 01011 11111 0000000000000100
//andi $12, $31, 8
001100 01100 11111 0000000000001000
//ori $13, $31, 12	
001101 01101 11111 0000000000001100
//slti $14, $31, 16	
001010 01110 11111 0000000000010000
//sw $2, $15  ,4
101011 00010 01111 0000000000000100
//lw $16, $31  ,8
100011 10000 11111 0000000000001000
//j  adress13
000010 00000000000000000000001101
//nop
000000 00000000000000000000000000
//nop
000000 00000000000000000000000000
//nop
000000 00000000000000000000000000
//nop
000000 00000000000000000000000000//依次以每8位为一组,初始化到[7:0]RAM[63:0]中00000000 00100010 11111000 00100000 00000000 10000011 11111000 00100010 00000000 11000101 11111000 00100100 00000000 11101000 11111000 00100101 00000001 00101010 11111000 00101011 00100001 01111111 00000000 00000100 00110001 10011111 00000000 00001000 00110101 10111111 00000000 00001100 00101001 11011111 00000000 00010000 10101100 01001111 00000000 00000100 10001110 00011111 00000000 00001000 00001000 00000000 00000000 00001101 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000

5)仿真代码

module testbench();
reg [31:0]IADDR;
wire[5:0] op;
wire [4:0] rs;
wire[4:0] rt;
wire [4:0] rd;
wire [4:0] sa;
wire[5:0] funct;
wire[15:0] immed;
wire[25:0] target;
integer i;
IMEM imem(IADDR,op,rs,rt,rd,sa,funct,immed,target);
initial 
begin
IADDR=0;
i=0;
while(i<16)begin #10 IADDR=IADDR+4;i=i+1; end
end
endmodule

6)仿真波形图

在这里插入图片描述

7)仿真说明

由仿真图可以看出,对于不同的IADDR地址,程序正确输出了指令型号以及其对应的op、rs、rt、rd、sa、funct、immed、target。其具体的对应关系请看MIPS指令说明。部件验证正确。

11、数据内存Dmem

1)代码

module DMEM(DataMemRW,data_address,data_in,data_out);
input DataMemRW;
input [31:0]data_address,data_in;
output reg[31:0]data_out;
reg [7:0] memory[255:0];
integer i;
initialbegin
for(i=0;i<256;i=i+1)
beginmemory[i]=8'b0000_0000;memory[i+1]=8'b0000_0000;memory[i+2]=8'b0000_0000;memory[i+3]=8'b0000_0010;
end
always@(*) 
begin// 写内存if (DataMemRW) beginmemory[data_address]=data_in[31:24];memory[data_address+1]=data_in[23:16];memory[data_address+2]=data_in[15:8];memory[data_address+3]=data_in[7:0]; end// 读内存else begindata_out[31:24]=memory[data_address];data_out[23:16]=memory[data_address+1];data_out[15:8]=memory[data_address+2];data_out[7:0]=memory[data_address+3];end
end
endmodule

2)部件功能

在CPU中,根据输入的data_address,读取数据内存中相应地址的值,写入Regfile;或通过Regfile,将值写入数据内存中相应地址。

3)实现思路

模块中定义了8位宽、256位深的二维数组memory。初始化模块时,为该模块的memory数组依次赋值为2,以便于仿真时确定代码的正确性。

模块工作时,若DataMemRW=1,则进行写入操作,将输入data_in的值赋值给memory;若DataMemRW=0,则进行读出操作,将memory的值通过data_out输出。

4)仿真代码

module testbench();
reg DataMemRW;
reg [31:0]data_address,data_in;
wire[31:0]data_out;
DMEM deme(DataMemRW,data_address,data_in,data_out);
initial 
begin
DataMemRW=0;
data_address=0;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0000_0001;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0000_0010;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0000_0100;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0000_1000;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0001_0000;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0010_0000;
#10 data_address=32'b0000_0000_0000_0000_0000_0000_0100_0000;
#10
DataMemRW=1;
data_in=32'b0000_0000_0000_0000_0000_0000_0001_0000;
data_address=32'b0000_0000_0000_0000_0000_0000_0000_0001;
end
endmodule

5)仿真波形图

在这里插入图片描述

6)仿真说明

由仿真文件可以看到:当DataMemRW=0时,模块执行读出操作,data_address分别对应0、1、2、4、8时,data_out也相应输出存储的数值2;当DataMemRW=1时,模块正确执行写入操作,写入数据data_in=00000000000000000000000000010000,写入数据的地址data_address=00000000000000000000000000000001。部件验证正确。

三、单周期CPU

1、CPU的功能

该CPU可以在单周期内实现给定的MIPS指令功能:add,sub,and,or,slt,addi,andi,ori,slti,sw,lw,j,nop13条MIPS指令;可以实现寄存器寻址,立即数寻址,基址偏移量寻址(寄存器内容与常数相加)、伪直接寻址(在跳转指令中,指令中的26位目标地址值与PC的高四位拼接,形成30位的存储器“字地址”)四种寻址方式;可以执行R(register)类型、I(immediate)类型、 J(jump)类型三种指令。

2、顶层文件top

1)代码

module TOP(clk,reset);
input clk,reset;
wire [31:0]pc_current,out3,q2,F_out,out1,q1,memdata1;
wire [4:0]rs,rt,rd,out2;
wire [5:0]funct,op;
wire [15:0]immediate;
wire [25:0]adress;
wire PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,ExtSel,RegOut,DataMemRW;
wire [2:0]ALUOp;
MIPS mips(clk,reset,rs,rt,rd,funct,op,memdata1,immediate,adress,F_out,pc_current,DataMemRW,q2);
IMEM imem(pc_current,op,rs,rt,rd,sa,funct,immediate,adress);
DMEM dmem(DataMemRW,F_out,q2,memdata1);
endmodule

2)电路图

在这里插入图片描述

3)模块实现

顶层模块由三个部分构成:MIPS、IMEM、DMEM。定义两个输入clk与reset。

3、MIPS模块

1)代码

module MIPS(clk,reset,rs,rt,rd,funct,op,memdata1,immediate,adress,F_out,pc_current,DataMemRW,q2);
input clk,reset;
input [4:0]rs,rt,rd;
input [5:0]funct,op;
input [31:0]memdata1;
input [15:0]immediate;
input [25:0]adress;
output [31:0] F_out,pc_current;
output DataMemRW;
output [31:0]q2;
wire PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut;
wire [2:0]op_in;
DATAPATH datapath(clk,reset,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,ExtSel,RegOut,op_in,rs,rt,rd,immediate,
adress,memdata1,F_out,pc_current,q2);
CONTROLER controler(clk,funct,op,op_in,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut);
endmodule

2)电路图

在这里插入图片描述

3)模块实现

MIPS模块由两个部分构成:controler以及datapath。定义输入clk、reset、rs、rt、rd、funct、op、memdata1、immediate、adress;定义输出F_out、pc_current、DataMemRW、q2。

4、datapath模块

1)代码

module DATAPATH(clk,reset,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,ExtSel,RegOut,op_in,rs,rt,rd,immediate,adress,
memdata1,F_out,pc_current,q2);
input clk,reset,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,ExtSel,RegOut;
input [4:0]rs,rt,rd;
input [15:0]immediate;
input [25:0]adress;
input [2:0]op_in;
input [31:0]memdata1;
wire [4:0]out2;
output [31:0]q2;
output [31:0] F_out,pc_current;
wire [31:0]pc_current,extendImmediate,q1,q2,pc_new,out3,out1,F_out;
PC pc(pc_new,pc_current,clk,reset,PCWre);
PCADDER pcadder(clk,pc_current,adress,PCSrc,pc_new);
REGIFILE regiflie(RegWre,clk,rs,rt,out3,q1,q2,out2);
EXTEND extend(ExtSel,immediate,extendImmediate);
ALU alu(q1,out1,F_out,op_in);
MUX5 mux51(RegOut,rd,rt,out2);//rt与rd的选择,1为rd,0为rt
MUX32 mux321(ALUM2Reg,q2,extendImmediate,out1); //立即数与Q2的选择,1为Q2,0为立即数
MUX32 mux322(ALUSrcB,F_out,memdata1,out3); //ALU运算结果与memory数据的选择,1为alu的运算结果,0为memory数据
endmodule

2)电路图

在这里插入图片描述

3)模块实现

datapath模块由八个部分构成:PC、PCADDER、REGIFILE、EXTEND、ALU、MUX5、MUX32(2)。定义输入clk、reset、PCSrc、PCWre、ALUSrcB、ALUM2Reg、RegWre、ExtSel、RegOut、rs、rt、rd、immediate、adress、op_in、memdata1;定义输出q2、 F_out、pc_current。

5、controler模块

1)代码

module CONTROLER(clk,funct,op,op_in,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut);
input clk;
input [5:0] funct,op;
output [2:0]op_in;
output PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut;
wire [2:0]ALUOp;
CONTROUNIT controunit(clk,op,PCSrc,PCWre,ALUSrcB,ALUM2Reg,RegWre,DataMemRW,ExtSel,RegOut,ALUOp);
ALUDECODER aludecoder(funct,op_in,ALUOp);
endmodule

2)电路图

在这里插入图片描述

3)模块实现

controler模块由两个部分构成:controunit、aludecoder。定义输入clk、funct、op;定义输出op_in、PCSrc、PCWre、ALUSrcB、ALUM2Reg、RegWre、DataMemRW、ExtSel、RegOut。

6、仿真

1)testbench

module testbench_PJ();
reg clk,reset;
TOP top(clk,reset);
initial
begin reset=1;clk=0;#5 reset=0;
end
always #25 clk=~clk;
endmodule

2)仿真波形图

在这里插入图片描述

2)仿真说明

仿真开始时,首先对CPU进行初始化,使reset=1,然后再使reset=0。然后按照预先存储在Instruction Memory内部的指令顺序,依次对指令进行读取(从仿真截图中clk的第一个上升沿开始为第一个周期):

1、第一周期(add):
//add $1,$2 $31
000000 00001 00010 11111 00000 100000

执行操作为: rd <- rs + rt ,其中rs=$1,rt=$2, rd=$31。对应仿真文件图:A_in+B_in=F_out,即1+2=3。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

2、第二周期(sub):
//sub $4, $3, $31
000000 00100 00011 11111 00000 100010

执行操作为: rd <- rs - rt,其中rs=$4,rt=$3,rd=$31。对应仿真文件图:A_in-B_in=F_out,即4-3=1。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

3、第三周期(and):
//and $6, $5, $31
000000 00110 00101 11111 00000 100100

执行操作为: rd <- rs & rt,其中rs=$6,rt=$5, rd=$31。对应仿真文件图:A_in&B_in=F_out,即110&101=100。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。可验证CPU运行正确。

4、第四周期(or):
//or $7, $8, $31
000000 00111 01000 11111 00000 100101

执行操作为: rd <- rs | rt ,其中rs=$7,rt=$8, rd=$31。对应仿真文件图:A_in|B_in=F_out,即0111|1000=1111。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

5、第五周期(slt):
//slt $9, $10, $31
000000 01001 01010 11111 00000 101010

执行操作为: if (rs < rt) rd=1; else rd=0;其中rs=$9,rt=$10, rd=$31。对应仿真文件图:(A_in<B_in),F_out=1,即10>9,F_out=1。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

6、第六周期(addi):
//addi $11, $31, 4
001000 01011 11111 0000000000000100

执行操作为: rt <- rs + (sign-extend)immediate ;其中rs=$11,rt=$31。对应仿真文件图:A_in+extendimmediate=F_out,即11+4=15。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

7、第七周期(andi):
//andi $12, $31, 8
001100 01100 11111 0000000000001000

执行操作为: rt <- rs & (zero-extend)immediate ;其中rs=$12,rt=$31。对应仿真文件图:A_in&extendimmediate=F_out,即1100&1000=1000。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

8、第八周期(ori):
//ori $13, $31, 12	
001101 01101 11111 0000000000001100

执行操作为: rt <- rs | (zero-extend)immediate ;其中rt=$31,rs=$13。对应仿真文件图:A_in|extendimmediate=F_out,即1101|1100=1101。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

9、第九周期(slti):
//slti $14, $31, 16	
001010 01110 11111 0000000000010000

执行操作为: if (rs <(sign-extend)immediate) rt=1;else rt=0 ;其中rs=$14,rt=$31。对应仿真文件图:A_in<extendimmediate; F_out=1,即1110<10000;F_out=1。然后将F_out的值赋值到wate_data,即存储在了regfile地址为31的数组中。验证CPU运行正确。

10、第十周期(sw):
//sw $2, $15 ,4
101011 00010 01111 0000000000000100

执行操作为:memory[rs + (sign-extend)immediate] <- rt ;rt=$15,rs=$2。对应仿真文件图:memory[A_in+extendimmediate]=memory[4+2]=memory[F_out]=memory[daya_adress]=memory[6]<-data_in=15,即将F_out的值作为memory的地址,将$15的值赋值到memory[F_out]。验证CPU运行正确。

11、第十一周期(lw):
//lw $16, $31  ,8
100011 10000 11111 0000000000001000

执行操作为: rt <- memory[rs + (sign-extend)immediate] ;rt=$31,rs=$16。对应仿真文件图: write_data=memory[A_in+extendimmediate]=memory[16+8]=memory[F_out]=memory[24]=data_out=2,即将F_out的值作为memory的地址,将memory[F_out]的值2通过data_out赋值到$31。验证CPU运行正确。

12、第十二周期(j):
//j  adress13
000010 00000000000000000000001101

执行操作为: PC <- {(PC+4)[31…28],address,0,0}。此时由于adress=13,pc=44,因此在伪寻址后,新pc的值为52。与仿真图中daress_in相符合,验证CPU运行正确。

13、第十三周期(nop):
//nop
000000 00000000000000000000000000

不执行任何操作,只有pc变化,由仿真波形图,验证CPU运行正确。

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. 2021年全球沥青搅拌站收入大约2341.3百万美元,预计2028年达到2691.2百万美元

    本文研究全球市场、主要地区和主要国家沥青搅拌站的销量、销售收入等&#xff0c;同时也重点分析全球范围内主要厂商&#xff08;品牌&#xff09;竞争态势&#xff0c;沥青搅拌站销量、价格、收入和市场份额等。 针对过去五年&#xff08;2017-2021&#xff09;年的历史情况&a…...

    2024/4/28 13:20:54
  2. 中国民办高等教育行业“十四五”规划及投资可行性研究报告2022~2028年

    中国民办高等教育行业“十四五”规划及投资可行性研究报告2022&#xff5e;2028年 第1章&#xff1a;中国高等教育行业发展综述 1.1 高等教育行业概述 1.1.1 高等教育定义 1.1.2 高等教育分类 1.1.3 高等教育在国民经济中的地位 1.2 高等教育行业发展环境分析 1.2.1 高等教育行…...

    2024/4/13 12:41:40
  3. 创建Vue路由

    创建路由模块的办法有很多&#xff0c;可以在搭建脚手架时选择第三个自定义创建然后再选择是否创建路由&#xff0c;还有就是自己下载路由依赖然后手动创建路由文件自己写&#xff0c;或者我这种创建的办法 1.cmd进入自己的项目&#xff0c;然后输入 vue add router 然后回车就…...

    2024/4/13 12:41:45
  4. 对指针变量取地址意义

    先说结论 对一级指针取地址运算就是就相当于二级指针 #include<stdio.h> void func(int** a, int** b) {static int x 100;static int y 200;*a &x;*b &y; } int main() {int* a NULL;int* b NULL;func(&a, &b);if (a ! NULL && b ! NULL)…...

    2024/5/2 13:47:39
  5. 整数之间存在维度吗

    序 今天是开工第一天&#xff0c;武汉下雪了&#xff0c;有感觉的下雪。上班第一天拿开门红包&#xff0c;收拾心情&#xff0c;准备正式开工。第一天浑浑噩噩的&#xff0c;刷到一个推荐的短片《隐匿的数字》&#xff0c;说3与4之间还存在一个未知的整数&#xff0c;无聊的就G…...

    2024/4/17 23:26:58
  6. ACL原理

    1、ACL介绍 信息点间通信和内外网络的通信都是企业网络中必不可少的业务需求&#xff0c;但是为了保证内网的安全性&#xff0c;需要通过安全策略来保障非授权用户只能访问特定的网络资源&#xff0c;从而达到对访问进行控制的目的。 ACL&#xff08;访问控制列表&#xff09…...

    2024/4/20 0:04:40
  7. springBoot学习笔记(2.5)—— 整合mybatis配置多数据源

    更多文章 更多系列文章在个人网站 springBoot学习系列笔记文章 springBoot学习笔记&#xff08;1&#xff09;—— 搭建springBoot项目 文章目录更多文章springBoot学习系列笔记文章一、配置步骤1.引入jar包2.配置项目文件3.数据源切换配置3.1 项目文件截图3.2 CurDataSouce…...

    2024/4/13 12:41:45
  8. Prometheus(1): Prometheus原理和工作流程分析,及其特性

    官方文档(中文版):https://www.kancloud.cn/nicefo71/prometheus-doc-zh/1318596 一:Prometheus是什么 Prometheus 起初是 SoundCloud 创建的一个开源系统监控报警工具。现在 Prometheus 是一个独立的开源项目&#xff0c;独立于任何一个公司。 Prometheus是一个TSDB(时序数…...

    2024/4/13 12:41:40
  9. msf流量通讯特征修改

    众所周知msf在安全界的地位&#xff0c;那么它的特征安全厂商肯定是抓的死死的&#xff0c;所以我们需要相应的修改一下。 使用openssl生成证书。 对于openssl这个软件&#xff0c;kali自带。 输入如下命令&#xff1b; openssl req -new -newkey rsa:4096 -days 365 -node…...

    2024/4/20 9:33:53
  10. vue2响应式通过数组下标赋值响应式问题

    通过学习vue2响应式&#xff0c;我写的不知道为什么&#xff0c;直接通过数组下标赋值它也是响应式的。下面是源码&#xff0c;你们可以试试。 直接复制新建一个html通过控制台测试 <!DOCTYPE html> <html lang"en"> <head><meta charset"…...

    2024/4/16 16:11:46
  11. vscode远程调试python源码

    一、环境说明 windows10 vmware14 pro ubuntu 20.04 vscode gdb9.2 python3.10 二、配置vscode 2.1 安装远程ssh 2.2 连接远端 输入远端的IP信息&#xff0c;ssh userip&#xff0c; 回车&#xff0c;然后提示输入密码&#xff0c;输入密码&#xff0c;然后回车。 2…...

    2024/4/16 17:54:38
  12. 【Linux下使用gcov统计C++代码覆盖率的总结】包括编译、统计、代码屏蔽等

    Linux下使用gcov统计C代码覆盖率的总结代码编译下载与安装编译与结果生成清除命令文件统计与代码屏蔽去除指定文件的统计屏蔽相关代码的统计在测试或开发的过程中&#xff0c;我们通常需要统计代码的函数覆盖、行覆盖及分支覆盖情况&#xff0c;因此需要借助一些工具来帮助我们…...

    2024/4/19 21:46:52
  13. 一文彻底搞懂MySQL基础:B树和B+树的区别

    一文彻底搞懂MySQL基础&#xff1a;B树和B树的区别_码农富哥-CSDN博客_b树和b树有什么区别 写在前面 大家在面试的时候&#xff0c;肯定都会被问到MySql的知识&#xff0c;以下是面试场景&#xff1a; 面试官&#xff1a;对于MySQL&#xff0c;你对他索引原理了解吗&#xff1…...

    2024/4/18 12:19:44
  14. 未来任然是证券的机会

    未来的机会点还在证券市场。经济转型升级需要直接融资。居民资产配置出路需要证券市场。居民消费升级需要证券牛市。 早知道&#xff0c;早配置。 我们仍然是散户占比过高的市场&#xff0c;后知后觉依然是主旋律。能清晰当前格局认知的&#xff0c;并践行的&#xff0c;一定收…...

    2024/4/13 12:41:25
  15. 2021年全球结构型玻璃收入大约10010百万美元,预计2028年达到13710百万美元

    针对过去五年&#xff08;2017-2021&#xff09;年的历史情况&#xff0c;分析历史几年全球结构型玻璃总体规模&#xff0c;主要地区规模&#xff0c;主要企业规模和份额&#xff0c;主要产品分类规模&#xff0c;下游主要应用规模等。规模分析包括销量、价格、收入和市场份额等…...

    2024/4/13 18:33:12
  16. 我有一个做不好自媒体的朋友

    大家都喜欢以我有一个朋友讲故事&#xff0c;今天给大家讲一个故事。 真的是朋友的故事&#xff0c;不是我自己的! 我有这么一位朋友&#xff0c;早几年呢&#xff0c;在国内三大旅行社做计调工作(大家都知道小易大学学的是旅游管理&#xff0c;这位朋友其实也是我的同学)&am…...

    2024/4/13 20:45:27
  17. Python彩色输出(Colored Print)

    Python 彩色输出 1.原理解析 在控制台输出彩色信息&#xff0c;不是编程语言特有的属性&#xff0c;而是利用了ANSI转义序列。无论使用的是哪种编程语言&#xff0c;只要正确的使用ANSI转义序列就可以打印出彩色信息。常用的ANSI序列程序有ANSICON等。 2.彩色输出方式一 直…...

    2024/4/13 12:42:20
  18. SpringBoot使用Spring-JDBC,并配置Druid监控记录

    一、依赖 <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot-starter-web</artifactId></dependency><!--jdbc启动器--><dependency><groupId>org.springframework.boot</groupId&g…...

    2024/4/13 12:42:15
  19. 【Complex-YOLO: 点云实时目标检测】

    Complex-YOLO: 点云实时目标检测前言要点分析具体算法分析点云转化鸟瞰图提取特征B- Box损失回归前言 Complex-YOLO&#xff0c;论文中介绍是一种仅在点云上进行的最先进的实时3D目标检测网络。借鉴了yolo v2的主干网络&#xff0c;扩展了最后的回归策略&#xff0c;提出了Eul…...

    2024/4/16 12:01:12
  20. 虚拟机栈(重要)

    虚拟机栈虚拟机栈概述Java虚拟机栈&#xff08;1&#xff09;Java虚拟机栈概述&#xff08;2&#xff09;栈的特点&#xff08;优点)&#xff08;3&#xff09;栈中可能出现的异常&#xff08;4&#xff09;设置栈内存大小栈的存储单位栈的运行原理栈帧的内部结构虚拟机栈概述 …...

    2024/4/17 23:54:46

最新文章

  1. golang学习笔记(内存逃逸分析)

    golang的内存逃逸 逃逸分析&#xff08; Escape analysis&#xff09; 是指由编译器决定内存分配的位置&#xff0c; 不需要程序员指定。 函数中申请一个新的对象。 如果分配在栈中&#xff0c; 则函数执行结束可自动将内存回收&#xff1b;如果分配在堆中&#xff0c; 则函数…...

    2024/5/3 12:17:35
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. 第三章图像和链接

    1.HTML 图像 在 HTML 中&#xff0c;图像是由<img>标签定义的&#xff0c;<img>标签是空标签&#xff0c;它只包含属性并且没有闭合标签。想要图像显示在网页中&#xff0c;那么图像<img>标签有两个必须的属性:src 属性和 alt属性。 语法&#xff1a; <…...

    2024/5/2 5:20:47
  4. 如何设计一个类似Dubbo的RPC框架

    首先有个注册中心,提供的服务在注册中心注册保留各个服务的信息,用zookeeper来做。然后消费者需要去注册中心拿对应的服务信息,而且每个服务可能会存在于多台机器上。接着就发起一次请求了,怎么发起?基于动态代理,面向接口获取到一个动态代理,就是接口在本地的一个代理,…...

    2024/4/30 3:45:44
  5. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/5/1 17:30:59
  6. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/5/2 16:16:39
  7. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/4/29 2:29:43
  8. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/5/2 9:28:15
  9. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/4/27 17:58:04
  10. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/4/27 14:22:49
  11. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/4/28 1:28:33
  12. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/4/30 9:43:09
  13. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/4/27 17:59:30
  14. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/5/2 15:04:34
  15. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/4/28 1:34:08
  16. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/4/26 19:03:37
  17. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/4/29 20:46:55
  18. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/4/30 22:21:04
  19. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/5/1 4:32:01
  20. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/4/27 23:24:42
  21. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/4/28 5:48:52
  22. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/4/30 9:42:22
  23. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/5/2 9:07:46
  24. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/4/30 9:42:49
  25. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  26. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  27. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  28. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  29. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  30. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  31. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  32. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  33. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  34. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  35. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  36. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  37. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  38. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  39. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  40. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  41. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  42. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  43. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  44. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57