博文目录

    • 写在前面
    • 正文
      • FPGA/ASIC中的FIFO
      • 同步FIFO的设计
    • 参考资料
    • 交个朋友


写在前面

  • 个人博客首页
  • 注:学习交流使用!

正文


FPGA/ASIC中的FIFO

FIFO缓冲区如何用于传输数据和跨时钟域

缩写FIFO代表 First In First Out。FIFO在FPGA和ASIC设计中无处不在,它们是基本的构建模块之一。而且它们非常方便!FIFO可用于以下任何目的:

  • 跨时钟域
  • 在将数据发送到芯片外之前将其缓冲(例如,发送到DRAM或SRAM)
  • 缓冲数据以供软件在以后查看
  • 存储数据以备后用

FIFO可以认为是汽车可以驶过的单向隧道。隧道的尽头是一个带门的收费站。门一旦打开,汽车便可以离开隧道。如果那扇门从未打开,而更多的汽车继续进入隧道,那么最终隧道将充满汽车。这称为FIFO溢出,通常这不是一件好事。FIFO的深度可以认为是隧道的长度。FIFO越深,在溢出之前可以容纳更多的数据。FIFO也具有宽度,该宽度表示进入FIFO的数据的宽度(以位数为单位)。下面是任何FIFO基本接口的图像。当您查看任何FIFO时,总是会找到这些信号。通常,会有更多的信号添加其他功能,例如FIFO中的字数计数。参见下图:

基础FIFO

FIFO可以分为写一侧和读一侧。写入一侧具有信号“写入使能wr_en”,“写入数据wr_data”和“ FIFO已满fifo_full”。设计人员切勿写入已满的FIFO! 始终检查FIFO已满标志,以确保有空间可以写入另一条数据,否则您将丢失该数据。

读取的一侧具有信号“读取使能rd_en”,“读取数据rd_data”和“ FIFO空fifo_empty”。设计人员切勿读取空的FIFO! 只要您遵循这两个基本规则,您和FIFO就会相处融洽。我再说一遍,因为它们是如此重要。

FIFO的两个规则:

  • 永远不要写入完整的FIFO(溢出)
  • 永远不要从空的FIFO中读取(下溢)

FIFO本身可以由FPGA或ASIC内的专用逻辑组成,也可以由触发器(分布式寄存器)创建。综合工具将使用这两种工具中的哪一种完全取决于您使用的FPGA供应商以及代码的结构。只需知道,当您使用专用逻辑块时,与使用基于寄存器的FIFO相比,它们具有更好的性能。
FIFO是FPGA设计人员的基本构建模块之一,对于正确理解和正确使用至关重要!


同步FIFO的设计

为了简单起见,本文先设计一个同步FIFO,仅带有空满标志。
在给出同步FIFO设计之前,有必要说说同步FIFO的原理,同步FIFO的设计很有必要,它是通往异步FIFO的基础,同步FIFO中的所有原理都理解了,异步FIFO中和同步FIFO相同的东西就不必再费心思思考了,而是直接进入重点,如何控制空满!

FIFO是先进先出的首字母缩写,它描述了如何相对于时间或优先级管理数据。在这种情况下,到达的第一个数据也将是从一组数据中离开的第一个数据。 FIFO缓冲区是一种读/写存储阵列,可自动跟踪数据进入模块的顺序并以相同顺序读出数据。在硬件中,FIFO缓冲区用于同步目的。 它通常实现为循环队列,并具有两个指针:

  • 读指针/读地址寄存器
  • 写指针/写地址寄存器

读写地址最初都位于第一个存储器位置,并且FIFO队列为空。当FIFO缓冲区的读地址和写地址之间的差等于内存阵列的大小时,则FIFO队列为Full(对于异步FIFO而言,可以设计多一位地址表示读指针以及写指针)。

FIFO可以分为同步时钟还是异步时钟,具体取决于是相同时钟(同步)还是不同时钟(异步)控制读写操作。

同步FIFO是指FIFO设计,其中使用时钟信号将数据值顺序写入存储阵列,并使用相同的时钟信号从存储阵列顺序读出数据值。 图1显示了典型FIFO的操作流程。

FIFO原理图
再看一幅图:
在这里插入图片描述
从这幅图中我们可以得到如下信息:

  • 写指针WP总是指向下一个时钟要写的地址;
  • 读指针RP总是指向下一个时钟要读的地址;
  • 读指针等于写指针的时候有可能为空,有可能为满。

这几点都很重要,到后面我们慢慢体会。

代码设计

// Reborn Lee 
// blog address: https://blog.csdn.net/Reborn_Lee
module syn_fifo#(parameter DATA_WIDTH = 8,parameter DATA_DEPTH = 8)(input i_clk,input i_rst,//write portinput wr_en,input [DATA_WIDTH - 1 : 0] wr_data,output wr_full,//read portinput rd_en,output [DATA_WIDTH - 1 : 0] rd_data,output rd_empty);//define ramreg [DATA_WIDTH - 1 : 0] fifo_buffer[0 : DATA_DEPTH - 1];reg [$clog2(DATA_DEPTH) : 0] fifo_cnt = 0;reg [$clog2(DATA_DEPTH) - 1 : 0] wr_pointer = 0;reg [$clog2(DATA_DEPTH) - 1 : 0] rd_pointer = 0;// keep track of the  fifo counteralways@(posedge i_clk) beginif(i_rst) beginfifo_cnt <= 0;endelse beginif(wr_en && !rd_en) begin //wr_en is asserted and fifo is not fullfifo_cnt <= fifo_cnt + 1;endelse if(rd_en && !wr_en) begin // rd_en is asserted and fifo is not emptyfifo_cnt <= fifo_cnt - 1;endendend//keep track of the write  pointeralways@(posedge i_clk) beginif(wr_en && !wr_full) beginif(wr_pointer == DATA_DEPTH - 1) beginwr_pointer <= 0; endelse beginwr_pointer <= wr_pointer + 1;endendend//keep track of the read pointer always@(posedge i_clk) beginif(rd_en && !rd_empty) beginif(rd_pointer == DATA_DEPTH - 1) beginrd_pointer <= 0;endelse beginrd_pointer <= rd_pointer + 1;endendend//write data into fifo when wr_en is assertedalways@(posedge i_clk) beginif(wr_en) beginfifo_buffer[wr_pointer] <= wr_data;endend//read data from fifo when rd_en is asserted//assign rd_data = (rd_en)?fifo_buffer[rd_pointer]: 'bz;assign rd_data = fifo_buffer[rd_pointer];assign wr_full = (fifo_cnt == DATA_DEPTH)? 1 : 0;assign rd_empty = (fifo_cnt == 0) ? 1 : 0;endmodule

测试平台:

`timescale 1ns / 1ps
////////////////////////////////////////////////////////////
// Engineer: Reborn Lee
// Module Name: syn_fifo_tb
//https://blog.csdn.net/Reborn_Lee
//////////////////////////////////////////////////////////module syn_fifo_tb();parameter DATA_WIDTH = 8;parameter DATA_DEPTH = 8;reg i_clk;reg i_rst;//write portreg wr_en;reg [DATA_WIDTH - 1 : 0] wr_data;wire wr_full;//read portreg rd_en;wire [DATA_WIDTH - 1 : 0] rd_data;wire rd_empty;initial begini_clk = 0;forever begin#5 i_clk = ~i_clk;endendinitial begini_rst = 1;wr_en = 0;rd_en = 0;@(negedge i_clk) i_rst = 0;@(negedge i_clk) wr_en = 1;wr_data = $random;repeat(3) begin@(negedge i_clk)wr_data = $random;	end@(negedge i_clk)wr_en = 0;rd_en = 1;repeat(3) begin@(negedge i_clk);	end@(negedge i_clk)rd_en = 0;wr_en = 1;wr_data = $random;repeat(7) begin   		@(negedge i_clk)wr_data = $random;end#20 $finish;endsyn_fifo #(.DATA_WIDTH(DATA_WIDTH),.DATA_DEPTH(DATA_DEPTH))inst_syn_fifo(.i_clk    (i_clk),.i_rst    (i_rst),.wr_en    (wr_en),.wr_data  (wr_data),.wr_full  (wr_full),.rd_en    (rd_en),.rd_data  (rd_data),.rd_empty (rd_empty));endmodule

仿真波形

先看最直观的信息:
在这里插入图片描述

写入FIFO的数据依次是24,81, 09, 63,读出的数据(从读使能有效开始读)24,81,09,63,读完之后的一个时钟,不在读了,空信号拉高,表示读空了。如下图用箭头以及数字示意:

在这里插入图片描述
我们再看看是否写入FIFO的数据依次是24,81,09,63:

在这里插入图片描述
确实如此!

再看看读数据的情况:

在这里插入图片描述
也确实是从0指针开始读的。

至于,这个FIFO的某些地方值为什么是红色的,是因为没有给FIFO的存储空间赋初值,在仿真时候显示红色,未知而已,在实际的FPGA或者ASIC中,实际是随机值。

我们再来看看设计代码中的写指针,初值为0,在写使能有效时,当时钟上升沿到达时,写指针加1:

//keep track of the write  pointeralways@(posedge i_clk) beginif(wr_en && !wr_full) beginif(wr_pointer == DATA_DEPTH - 1) beginwr_pointer <= 0; endelse beginwr_pointer <= wr_pointer + 1;endendend

而此时,也就是写使能有效,且时钟上升沿到来时,又对FIFO进行写操作:

//write data into fifo when wr_en is assertedalways@(posedge i_clk) beginif(wr_en) beginfifo_buffer[wr_pointer] <= wr_data;endend

我想提醒的是,此时写入的FIFO空间地址,应该是指针加1之前的地址值(指针值),这是因为使用了非阻塞赋值,指针即使加1了,在此刻时钟上升沿写FIFO时,加1的指针还未生效,这就是非阻塞赋值的作用了。
你不信吗?
按照上面说的,在仿真中,指针的值应该比写入FIFO中的地址值大1.
看看仿真图:

在这里插入图片描述
这本不是问题,可还是要提出来,就怕有的同学会迷!

刚才的Verilog设计对于写采用的是同步写,但是对于读却采用的是异步读,如果我们采用同步读呢?就和FIFO写数据达成统一,我们可以猜测(其实内心很确信),读指针值超越读数据地址1,也就是说,如果读地址在时钟上升沿为2的话,其实当前读的值为1地址的值。
那我们测试一下吧,先令读改为同步读:

// assign rd_data = fifo_buffer[rd_pointer];always@(posedge i_clk) beginif(rd_en) beginrd_data <= fifo_buffer[rd_pointer];endend

然后观测仿真结果:

在这里插入图片描述
数据的存取倒是没有问题,先进先出。
但可以看到的另一个情况是,1地址时,存的数据和取得数据其实都是0地址的数据。继续看仿真图:

在这里插入图片描述
可见,此时的地址虽然变成了1,但对于FIFO来说,并未生效,存以及取仍然按照前一个地址来存或取,这是非阻塞赋值的原因。
但这些细节问题,并不会影响我们使用FIFO,我们使用FIFO的时候不必关注这些,我们只需要只要我们存取都是先进先出即可。
封装成FIFO模块,用就是了!不过对于数字设计师来说,这种细节你还是要知道的,要不然用FIFO是没有灵魂的,还有就是如果面试或者笔试让你写一个FIFO你该怎么办呢?
既然是设计,你肯定要知道细节了,因为是你设计的细节。

VHDL版设计

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;entity module_fifo_regs_no_flags isgeneric (g_WIDTH : natural := 8;g_DEPTH : integer := 32);port (i_rst_sync : in std_logic;i_clk      : in std_logic;-- FIFO Write Interfacei_wr_en   : in  std_logic;i_wr_data : in  std_logic_vector(g_WIDTH-1 downto 0);o_full    : out std_logic;-- FIFO Read Interfacei_rd_en   : in  std_logic;o_rd_data : out std_logic_vector(g_WIDTH-1 downto 0);o_empty   : out std_logic);
end module_fifo_regs_no_flags;architecture rtl of module_fifo_regs_no_flags istype t_FIFO_DATA is array (0 to g_DEPTH-1) of std_logic_vector(g_WIDTH-1 downto 0);signal r_FIFO_DATA : t_FIFO_DATA := (others => (others => '0'));signal r_WR_INDEX   : integer range 0 to g_DEPTH-1 := 0;signal r_RD_INDEX   : integer range 0 to g_DEPTH-1 := 0;-- # Words in FIFO, has extra range to allow for assert conditionssignal r_FIFO_COUNT : integer range -1 to g_DEPTH+1 := 0;signal w_FULL  : std_logic;signal w_EMPTY : std_logic;beginp_CONTROL : process (i_clk) isbeginif rising_edge(i_clk) thenif i_rst_sync = '1' thenr_FIFO_COUNT <= 0;r_WR_INDEX   <= 0;r_RD_INDEX   <= 0;else-- Keeps track of the total number of words in the FIFOif (i_wr_en = '1' and i_rd_en = '0') thenr_FIFO_COUNT <= r_FIFO_COUNT + 1;elsif (i_wr_en = '0' and i_rd_en = '1') thenr_FIFO_COUNT <= r_FIFO_COUNT - 1;end if;-- Keeps track of the write index (and controls roll-over)if (i_wr_en = '1' and w_FULL = '0') thenif r_WR_INDEX = g_DEPTH-1 thenr_WR_INDEX <= 0;elser_WR_INDEX <= r_WR_INDEX + 1;end if;end if;-- Keeps track of the read index (and controls roll-over)        if (i_rd_en = '1' and w_EMPTY = '0') thenif r_RD_INDEX = g_DEPTH-1 thenr_RD_INDEX <= 0;elser_RD_INDEX <= r_RD_INDEX + 1;end if;end if;-- Registers the input data when there is a writeif i_wr_en = '1' thenr_FIFO_DATA(r_WR_INDEX) <= i_wr_data;end if;end if;                           -- sync resetend if;                             -- rising_edge(i_clk)end process p_CONTROL;o_rd_data <= r_FIFO_DATA(r_RD_INDEX);w_FULL  <= '1' when r_FIFO_COUNT = g_DEPTH else '0';w_EMPTY <= '1' when r_FIFO_COUNT = 0       else '0';o_full  <= w_FULL;o_empty <= w_EMPTY;-- ASSERTION LOGIC - Not synthesized-- synthesis translate_offp_ASSERT : process (i_clk) isbeginif rising_edge(i_clk) thenif i_wr_en = '1' and w_FULL = '1' thenreport "ASSERT FAILURE - MODULE_REGISTER_FIFO: FIFO IS FULL AND BEING WRITTEN " severity failure;end if;if i_rd_en = '1' and w_EMPTY = '1' thenreport "ASSERT FAILURE - MODULE_REGISTER_FIFO: FIFO IS EMPTY AND BEING READ " severity failure;end if;end if;end process p_ASSERT;-- synthesis translate_on
end rtl;

仿真就算了,和Verilog版一致也可。

带有几乎空almost empty 以及几乎满 almost full的同步FIFO
带有几乎空以及几乎满的同步FIFO设计也不是什么难事,我们只需要设置两个参数,几乎空以及几乎满的阈值,最后再将读写计数器和阈值对比,如果小于几乎空阈值,则几乎空标志有效;如果大于几乎满阈值,则几乎满标志有效。
设计十分简单,就在上述代码基础上添加几条,这里不再赘余。


参考资料

  • 参考资料1
  • 参考资料2

交个朋友

  • 个人微信公众号:FPGA LAB;

  • 知乎:李锐博恩。
    我的知乎我的微信公众号

  • FPGA/IC技术交流2020

查看全文
如若内容造成侵权/违法违规/事实不符,请联系编程学习网邮箱:809451989@qq.com进行投诉反馈,一经查实,立即删除!

相关文章

  1. Android 手势识别应用:手把手教你学会 GestureDetector(含实例讲解)

    前言手势识别在Android开发的应用非常常见 今天carson将详细给大家讲解Android手势识别类:GestureDetector类的使用。(含实例讲解)目录简介下面,我将结合实例,详细介绍GestureDetector的使用接口 & 使用类。接口1:OnGestureListener 1. 作用 检测用户在屏幕的以下操作…...

    2024/4/28 14:35:50
  2. MMDetection V2.0:更快更强的通用目标检测平台

    MMDetection V1.0 版本发布以来,我们收到了很多用户的反馈,其中有不少有价值的建议,同时也有很多开发者贡献代码,和我们一起不断完善。经过 2 个月的酝酿,再经过 3 个月的开发和打磨(也就说了五六次“下周发版”吧,这里十分感谢主要开发的小伙伴们:文蔚,嘉瑞和钰杭),…...

    2024/4/24 11:38:16
  3. Leetcode 241. Different Ways to Add Parentheses (python+cpp)

    Leetcode 241. Different Ways to Add Parentheses题目解法:divide and conquer 题目解法:divide and conquer 这道题目用的是分治法,分治法是递归的把问题拆分为子问题,然后对子问题分别求解。我们熟悉的动态规划就是分治法的一种。 对于这道题目,关键在于这个加括号的操…...

    2024/4/29 9:06:08
  4. PHP使用TP框架上传文件大小限制

    1.更改post_max_size大小限制2.更改upload_max_filesize大小限制3.更改TP框架中验证图片大小的设置$info = $file->validate([require=>require,size => 5000000000,ext => jpeg,jpg,png,gif])->move(uploads/);...

    2024/4/24 11:38:14
  5. 内网信息收集之wmic

    最近打算巩固一下内网渗透,所以买了一本内网渗透的书来看,之前都是通过文章来学习的,不够系统,所以这里打算重新学习一遍。说到内网渗透,当然最重要的还是内网的信息收集,在内网中我们要知道,我是谁、这是哪、我在哪,这三个方面。然而今天看这本内网渗透做信息收集的书…...

    2024/4/24 11:38:20
  6. 键盘常用快捷键总结

    键盘和常用快捷键总结 一、键盘简介87键键盘主要包括三个区域 主键区、功能键区、编辑控制键区 主键区: 1、符号键:数字、符号键共有21个,包括数字、运算符号和标点符号等,每个键位都是双字符键位。输入下档字符时,直接按该键进行输入即可。 若输入上档字符,则按住[Shift]…...

    2024/4/24 11:38:16
  7. java学习笔记-Map集合中的HashMap集合与Hashtable

    目 录HashMap集合(非线程安全的)两个重要方法put、get(重点)为什么哈希表的随机增删、查询效率都高?HashMap集合的部分特点HashMap的初始化默认容量关于HashMap的equals方法和hashCode方法拓展Hashtable集合(线程安全的)HashTable和HashMap的部分区别HashTable的初始化容量…...

    2024/4/15 5:01:25
  8. Linux_实用指令

    1.运行级别&&找回root密码 运行级别示意图(常用运行级别3和5)切换到指定的运行级别 (1)终端输入:init 0/1/2/3/4/5/6 (2)终端输入:vim /etc/inittab 将下图数字5改成对应的运行级别即可面试题:如何找回丢失的root密码? 思路: 进入单用户模式,然后“pass…...

    2024/4/15 5:01:28
  9. 反思篇 自我提升第四天

    今天,我依旧因为作业,以及畏难没有能够执行计划,但是呢,我今天也思考了一下为什么不能完成任务,所以这是反思篇,不会介绍具体的知识,只是对自己的总结,和自己任务安排不巧当的更正。 首先,我分析了自己为什么完成不了的原因: 1、自己有畏难心理,面对较为复杂的东西,…...

    2024/4/15 5:01:23
  10. 从单幅图像学习生成模型,可应用于多种图像处理操作

    从单幅图像学习生成模型,可应用于多种图像处理操作 一种可以从单幅自然图像学习的非条件生成模型–SinGAN,能够捕捉图像的内部块分布信息,生成具有相同视觉内容的高质量、多变的样本。 什么是GAN 生成式对抗网络(GAN, Generative Adversarial Networks )是一种深度学习模型…...

    2024/4/19 19:04:42
  11. 举一个有趣的例子,让你轻松搞懂JVM内存管理(建议收藏)

    目录前言例子源码输出图解深入分析学以致用写在最后前言在JAVA虚拟机内存管理中,堆、栈、方法区、常量池等概念经常被提到,对理论知识的理解也常常停留在字面意思上,比如说堆内存中存放对象,栈内存中存放局部变量,常量池中存放字符串常量表等,本篇文章通过一个有趣的例子…...

    2024/4/15 5:01:21
  12. Ribbon的超时和重试机制

    文章目录application.ymlprovider控制台 application.yml ribbon:#连接超时时间(ms)ConnectTimeout: 1000#业务逻辑超时时间(ms)ReadTimeout: 2000#同一台实例最大重试次数,不包括首次调用MaxAutoRetries: 3#重试负载均衡其他的实例最大重试次数,不包括首次调用MaxAutoRetriesN…...

    2024/4/21 6:17:00
  13. 5. 传输层

    文章目录1. TTransport结构2. TTransport子类3. TTransport实现原理4. 总结 在前面的文章中,我们按照thrfit的调用顺序介绍了处理层和协议层,这篇文章我们接着介绍。我们回到TPotocol的源码:/*** Prevent direct instantiation*/@SuppressWarnings("unused")priva…...

    2024/4/15 5:01:19
  14. RPM安装Mysql出现warning: MySQL-server-xxx.linux xxx.rpm: Header V3 DSA/SHA1 Signature, key ID xx: NOK问题

    今天在阿里云服务器(CentOS7)上用rpm安装mysql时,出现如下问题 [root@iz2zed4h7bg5di7mivrsvyz opt]# rpm -ivh MySQL-server-5.5.48-1.linux2.6.i386.rpm warning: MySQL-server-5.5.48-1.linux2.6.i386.rpm: Header V3 DSA/SHA1 Signature, key ID 5072e1f5: NOKEY error…...

    2024/4/15 5:01:18
  15. 大白话说C++——“引用”是个啥?

    一、引用是什么?引用就是给已经存在的变量取个别名,也就是取外号。比如说,班级里有一个小孩叫赵铁柱,人高马大打篮球很好,人称“二班奥尼尔”。当这个外号被大家熟知后,那讨论到他时,不管是说“赵铁柱昨天和姑娘表白了”还是“二班那个奥尼尔被女生发好人卡了”,大家都…...

    2024/4/26 20:04:24
  16. LeetCode--20200607

    题目 检查一个字符串是否可以打破另一个字符串 描述 给你两个字符串 s1 和 s2 ,它们长度相等,请你检查是否存在一个 s1 的排列可以打破 s2 的一个排列,或者是否存在一个 s2 的排列可以打破 s1 的一个排列。 字符串 x 可以打破字符串 y (两者长度都为 n )需满足对于所有 i…...

    2024/4/24 11:38:10
  17. 我的jdk源码(十七):Objects类

    一、概述Objects类是一Object类的个工具类,类似Collections类,提供一些静态的工具方法,着重于校验空指针以及获取hash值等,弥补我们在写代码时不小心忽略空指针等异常情况,从jdk1.7被加入进来, Objects类被final修饰不能被继承,拥有私有的构造函数。二、源码解析1. 类的…...

    2024/4/24 11:38:09
  18. vue实现字母的索引栏

    这里会先从静态布局开始,对于vue的新手宝宝们会很好理解,对于大佬们也会很好理解思路。 vue实现字母的索引栏 先来实现静态布局 首先在views文件下面建一个city.vue文件,然后在index.js里面配置一下相应的路由 import City from "../views/City";(这里的路径可以根…...

    2024/4/24 11:38:11
  19. 【Python】【难度:简单】Leetcode 面试题68 - II. 二叉树的最近公共祖先

    给定一个二叉树, 找到该树中两个指定节点的最近公共祖先。百度百科中最近公共祖先的定义为:“对于有根树 T 的两个结点 p、q,最近公共祖先表示为一个结点 x,满足 x 是 p、q 的祖先且 x 的深度尽可能大(一个节点也可以是它自己的祖先)。”例如,给定如下二叉树: root = [3…...

    2024/4/24 11:38:08
  20. Hive优化十大原则

    一. 表连接优化 1. 将大表放后头Hive假定查询中最后的一个表是大表。它会将其它表缓存起来,然后扫描最后那个表。因此通常需要将小表放前面,或者标记哪张表是大表:/*streamtable(table_name) */select /*+ MAPJOIN(time_dim) */ count(1) from store_sales join time_dim o…...

    2024/4/24 11:38:06

最新文章

  1. oracle清空表的delete from和truncate table的優劣

    1.使用delete from 優點&#xff1a; 1.保留記錄&#xff0c;delete from不會立即刪除數據而是保留記錄 2.允許回滾&#xff0c;delete from的數據不會立即刪除數據&#xff0c;且會記錄到binlog裡面&#xff0c;後期可以回滾數據 3.可以選擇性的刪除數據 缺點 1.不會釋放…...

    2024/5/5 9:18:56
  2. 梯度消失和梯度爆炸的一些处理方法

    在这里是记录一下梯度消失或梯度爆炸的一些处理技巧。全当学习总结了如有错误还请留言&#xff0c;在此感激不尽。 权重和梯度的更新公式如下&#xff1a; w w − η ⋅ ∇ w w w - \eta \cdot \nabla w ww−η⋅∇w 个人通俗的理解梯度消失就是网络模型在反向求导的时候出…...

    2024/3/20 10:50:27
  3. linux进阶篇:磁盘管理(一):LVM逻辑卷基本概念及LVM的工作原理

    Linux磁盘管理(一)&#xff1a;LVM逻辑卷基本概念及LVM的工作原理 一、传统的磁盘管理 在传统的磁盘管理方案中&#xff0c;如果我们的磁盘容量不够了&#xff0c;那这个时候应该要加一块硬盘&#xff0c;但是新增加的硬盘是作为独立的文件系统存在的&#xff0c;原有的文件系…...

    2024/5/5 1:29:39
  4. DDIM,多样性与运行效率之间的trade off

    DDPM的重大缺陷在于其在反向扩散的过程中需要逐步从 x t x_t xt​倒推到 x 0 x_0 x0​&#xff0c;因此其推理速度非常缓慢。相反&#xff0c;DDPM的训练过程是很快的&#xff0c;可以直接根据 x 0 x_0 x0​到 x t x_t xt​添加的高斯噪声 ϵ \epsilon ϵ完成一次训练。 为了解…...

    2024/5/5 8:46:20
  5. redis之主从复制、哨兵模式

    一 redis群集有三种模式 主从复制&#xff1a; 主从复制是高可用Redis的基础&#xff0c;哨兵和集群都是在主从复制基础上实现高可用的。 主从复制主要实现了数据的多机备份&#xff0c;以及对于读操作的负载均衡和简单的故障恢复。 缺陷&#xff1a; 故障恢复无法自动化&…...

    2024/5/5 8:46:03
  6. 【外汇早评】美通胀数据走低,美元调整

    原标题:【外汇早评】美通胀数据走低,美元调整昨日美国方面公布了新一期的核心PCE物价指数数据,同比增长1.6%,低于前值和预期值的1.7%,距离美联储的通胀目标2%继续走低,通胀压力较低,且此前美国一季度GDP初值中的消费部分下滑明显,因此市场对美联储后续更可能降息的政策…...

    2024/5/4 23:54:56
  7. 【原油贵金属周评】原油多头拥挤,价格调整

    原标题:【原油贵金属周评】原油多头拥挤,价格调整本周国际劳动节,我们喜迎四天假期,但是整个金融市场确实流动性充沛,大事频发,各个商品波动剧烈。美国方面,在本周四凌晨公布5月份的利率决议和新闻发布会,维持联邦基金利率在2.25%-2.50%不变,符合市场预期。同时美联储…...

    2024/5/4 23:54:56
  8. 【外汇周评】靓丽非农不及疲软通胀影响

    原标题:【外汇周评】靓丽非农不及疲软通胀影响在刚结束的周五,美国方面公布了新一期的非农就业数据,大幅好于前值和预期,新增就业重新回到20万以上。具体数据: 美国4月非农就业人口变动 26.3万人,预期 19万人,前值 19.6万人。 美国4月失业率 3.6%,预期 3.8%,前值 3…...

    2024/5/4 23:54:56
  9. 【原油贵金属早评】库存继续增加,油价收跌

    原标题:【原油贵金属早评】库存继续增加,油价收跌周三清晨公布美国当周API原油库存数据,上周原油库存增加281万桶至4.692亿桶,增幅超过预期的74.4万桶。且有消息人士称,沙特阿美据悉将于6月向亚洲炼油厂额外出售更多原油,印度炼油商预计将每日获得至多20万桶的额外原油供…...

    2024/5/4 23:55:17
  10. 【外汇早评】日本央行会议纪要不改日元强势

    原标题:【外汇早评】日本央行会议纪要不改日元强势近两日日元大幅走强与近期市场风险情绪上升,避险资金回流日元有关,也与前一段时间的美日贸易谈判给日本缓冲期,日本方面对汇率问题也避免继续贬值有关。虽然今日早间日本央行公布的利率会议纪要仍然是支持宽松政策,但这符…...

    2024/5/4 23:54:56
  11. 【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响

    原标题:【原油贵金属早评】欧佩克稳定市场,填补伊朗问题的影响近日伊朗局势升温,导致市场担忧影响原油供给,油价试图反弹。此时OPEC表态稳定市场。据消息人士透露,沙特6月石油出口料将低于700万桶/日,沙特已经收到石油消费国提出的6月份扩大出口的“适度要求”,沙特将满…...

    2024/5/4 23:55:05
  12. 【外汇早评】美欲与伊朗重谈协议

    原标题:【外汇早评】美欲与伊朗重谈协议美国对伊朗的制裁遭到伊朗的抗议,昨日伊朗方面提出将部分退出伊核协议。而此行为又遭到欧洲方面对伊朗的谴责和警告,伊朗外长昨日回应称,欧洲国家履行它们的义务,伊核协议就能保证存续。据传闻伊朗的导弹已经对准了以色列和美国的航…...

    2024/5/4 23:54:56
  13. 【原油贵金属早评】波动率飙升,市场情绪动荡

    原标题:【原油贵金属早评】波动率飙升,市场情绪动荡因中美贸易谈判不安情绪影响,金融市场各资产品种出现明显的波动。随着美国与中方开启第十一轮谈判之际,美国按照既定计划向中国2000亿商品征收25%的关税,市场情绪有所平复,已经开始接受这一事实。虽然波动率-恐慌指数VI…...

    2024/5/4 23:55:16
  14. 【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试

    原标题:【原油贵金属周评】伊朗局势升温,黄金多头跃跃欲试美国和伊朗的局势继续升温,市场风险情绪上升,避险黄金有向上突破阻力的迹象。原油方面稍显平稳,近期美国和OPEC加大供给及市场需求回落的影响,伊朗局势并未推升油价走强。近期中美贸易谈判摩擦再度升级,美国对中…...

    2024/5/4 23:54:56
  15. 【原油贵金属早评】市场情绪继续恶化,黄金上破

    原标题:【原油贵金属早评】市场情绪继续恶化,黄金上破周初中国针对于美国加征关税的进行的反制措施引发市场情绪的大幅波动,人民币汇率出现大幅的贬值动能,金融市场受到非常明显的冲击。尤其是波动率起来之后,对于股市的表现尤其不安。隔夜美国股市出现明显的下行走势,这…...

    2024/5/4 18:20:48
  16. 【外汇早评】美伊僵持,风险情绪继续升温

    原标题:【外汇早评】美伊僵持,风险情绪继续升温昨日沙特两艘油轮再次发生爆炸事件,导致波斯湾局势进一步恶化,市场担忧美伊可能会出现摩擦生火,避险品种获得支撑,黄金和日元大幅走强。美指受中美贸易问题影响而在低位震荡。继5月12日,四艘商船在阿联酋领海附近的阿曼湾、…...

    2024/5/4 23:54:56
  17. 【原油贵金属早评】贸易冲突导致需求低迷,油价弱势

    原标题:【原油贵金属早评】贸易冲突导致需求低迷,油价弱势近日虽然伊朗局势升温,中东地区几起油船被袭击事件影响,但油价并未走高,而是出于调整结构中。由于市场预期局势失控的可能性较低,而中美贸易问题导致的全球经济衰退风险更大,需求会持续低迷,因此油价调整压力较…...

    2024/5/4 23:55:17
  18. 氧生福地 玩美北湖(上)——为时光守候两千年

    原标题:氧生福地 玩美北湖(上)——为时光守候两千年一次说走就走的旅行,只有一张高铁票的距离~ 所以,湖南郴州,我来了~ 从广州南站出发,一个半小时就到达郴州西站了。在动车上,同时改票的南风兄和我居然被分到了一个车厢,所以一路非常愉快地聊了过来。 挺好,最起…...

    2024/5/4 23:55:06
  19. 氧生福地 玩美北湖(中)——永春梯田里的美与鲜

    原标题:氧生福地 玩美北湖(中)——永春梯田里的美与鲜一觉醒来,因为大家太爱“美”照,在柳毅山庄去寻找龙女而错过了早餐时间。近十点,向导坏坏还是带着饥肠辘辘的我们去吃郴州最富有盛名的“鱼头粉”。说这是“十二分推荐”,到郴州必吃的美食之一。 哇塞!那个味美香甜…...

    2024/5/4 23:54:56
  20. 氧生福地 玩美北湖(下)——奔跑吧骚年!

    原标题:氧生福地 玩美北湖(下)——奔跑吧骚年!让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 让我们红尘做伴 活得潇潇洒洒 策马奔腾共享人世繁华 对酒当歌唱出心中喜悦 轰轰烈烈把握青春年华 啊……啊……啊 两…...

    2024/5/4 23:55:06
  21. 扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!

    原标题:扒开伪装医用面膜,翻六倍价格宰客,小姐姐注意了!扒开伪装医用面膜,翻六倍价格宰客!当行业里的某一品项火爆了,就会有很多商家蹭热度,装逼忽悠,最近火爆朋友圈的医用面膜,被沾上了污点,到底怎么回事呢? “比普通面膜安全、效果好!痘痘、痘印、敏感肌都能用…...

    2024/5/5 8:13:33
  22. 「发现」铁皮石斛仙草之神奇功效用于医用面膜

    原标题:「发现」铁皮石斛仙草之神奇功效用于医用面膜丽彦妆铁皮石斛医用面膜|石斛多糖无菌修护补水贴19大优势: 1、铁皮石斛:自唐宋以来,一直被列为皇室贡品,铁皮石斛生于海拔1600米的悬崖峭壁之上,繁殖力差,产量极低,所以古代仅供皇室、贵族享用 2、铁皮石斛自古民间…...

    2024/5/4 23:55:16
  23. 丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者

    原标题:丽彦妆\医用面膜\冷敷贴轻奢医学护肤引导者【公司简介】 广州华彬企业隶属香港华彬集团有限公司,专注美业21年,其旗下品牌: 「圣茵美」私密荷尔蒙抗衰,产后修复 「圣仪轩」私密荷尔蒙抗衰,产后修复 「花茵莳」私密荷尔蒙抗衰,产后修复 「丽彦妆」专注医学护…...

    2024/5/4 23:54:58
  24. 广州械字号面膜生产厂家OEM/ODM4项须知!

    原标题:广州械字号面膜生产厂家OEM/ODM4项须知!广州械字号面膜生产厂家OEM/ODM流程及注意事项解读: 械字号医用面膜,其实在我国并没有严格的定义,通常我们说的医美面膜指的应该是一种「医用敷料」,也就是说,医用面膜其实算作「医疗器械」的一种,又称「医用冷敷贴」。 …...

    2024/5/4 23:55:01
  25. 械字号医用眼膜缓解用眼过度到底有无作用?

    原标题:械字号医用眼膜缓解用眼过度到底有无作用?医用眼膜/械字号眼膜/医用冷敷眼贴 凝胶层为亲水高分子材料,含70%以上的水分。体表皮肤温度传导到本产品的凝胶层,热量被凝胶内水分子吸收,通过水分的蒸发带走大量的热量,可迅速地降低体表皮肤局部温度,减轻局部皮肤的灼…...

    2024/5/4 23:54:56
  26. 配置失败还原请勿关闭计算机,电脑开机屏幕上面显示,配置失败还原更改 请勿关闭计算机 开不了机 这个问题怎么办...

    解析如下&#xff1a;1、长按电脑电源键直至关机&#xff0c;然后再按一次电源健重启电脑&#xff0c;按F8健进入安全模式2、安全模式下进入Windows系统桌面后&#xff0c;按住“winR”打开运行窗口&#xff0c;输入“services.msc”打开服务设置3、在服务界面&#xff0c;选中…...

    2022/11/19 21:17:18
  27. 错误使用 reshape要执行 RESHAPE,请勿更改元素数目。

    %读入6幅图像&#xff08;每一幅图像的大小是564*564&#xff09; f1 imread(WashingtonDC_Band1_564.tif); subplot(3,2,1),imshow(f1); f2 imread(WashingtonDC_Band2_564.tif); subplot(3,2,2),imshow(f2); f3 imread(WashingtonDC_Band3_564.tif); subplot(3,2,3),imsho…...

    2022/11/19 21:17:16
  28. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机...

    win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”问题的解决方法在win7系统关机时如果有升级系统的或者其他需要会直接进入一个 等待界面&#xff0c;在等待界面中我们需要等待操作结束才能关机&#xff0c;虽然这比较麻烦&#xff0c;但是对系统进行配置和升级…...

    2022/11/19 21:17:15
  29. 台式电脑显示配置100%请勿关闭计算机,“准备配置windows 请勿关闭计算机”的解决方法...

    有不少用户在重装Win7系统或更新系统后会遇到“准备配置windows&#xff0c;请勿关闭计算机”的提示&#xff0c;要过很久才能进入系统&#xff0c;有的用户甚至几个小时也无法进入&#xff0c;下面就教大家这个问题的解决方法。第一种方法&#xff1a;我们首先在左下角的“开始…...

    2022/11/19 21:17:14
  30. win7 正在配置 请勿关闭计算机,怎么办Win7开机显示正在配置Windows Update请勿关机...

    置信有很多用户都跟小编一样遇到过这样的问题&#xff0c;电脑时发现开机屏幕显现“正在配置Windows Update&#xff0c;请勿关机”(如下图所示)&#xff0c;而且还需求等大约5分钟才干进入系统。这是怎样回事呢&#xff1f;一切都是正常操作的&#xff0c;为什么开时机呈现“正…...

    2022/11/19 21:17:13
  31. 准备配置windows 请勿关闭计算机 蓝屏,Win7开机总是出现提示“配置Windows请勿关机”...

    Win7系统开机启动时总是出现“配置Windows请勿关机”的提示&#xff0c;没过几秒后电脑自动重启&#xff0c;每次开机都这样无法进入系统&#xff0c;此时碰到这种现象的用户就可以使用以下5种方法解决问题。方法一&#xff1a;开机按下F8&#xff0c;在出现的Windows高级启动选…...

    2022/11/19 21:17:12
  32. 准备windows请勿关闭计算机要多久,windows10系统提示正在准备windows请勿关闭计算机怎么办...

    有不少windows10系统用户反映说碰到这样一个情况&#xff0c;就是电脑提示正在准备windows请勿关闭计算机&#xff0c;碰到这样的问题该怎么解决呢&#xff0c;现在小编就给大家分享一下windows10系统提示正在准备windows请勿关闭计算机的具体第一种方法&#xff1a;1、2、依次…...

    2022/11/19 21:17:11
  33. 配置 已完成 请勿关闭计算机,win7系统关机提示“配置Windows Update已完成30%请勿关闭计算机”的解决方法...

    今天和大家分享一下win7系统重装了Win7旗舰版系统后&#xff0c;每次关机的时候桌面上都会显示一个“配置Windows Update的界面&#xff0c;提示请勿关闭计算机”&#xff0c;每次停留好几分钟才能正常关机&#xff0c;导致什么情况引起的呢&#xff1f;出现配置Windows Update…...

    2022/11/19 21:17:10
  34. 电脑桌面一直是清理请关闭计算机,windows7一直卡在清理 请勿关闭计算机-win7清理请勿关机,win7配置更新35%不动...

    只能是等着&#xff0c;别无他法。说是卡着如果你看硬盘灯应该在读写。如果从 Win 10 无法正常回滚&#xff0c;只能是考虑备份数据后重装系统了。解决来方案一&#xff1a;管理员运行cmd&#xff1a;net stop WuAuServcd %windir%ren SoftwareDistribution SDoldnet start WuA…...

    2022/11/19 21:17:09
  35. 计算机配置更新不起,电脑提示“配置Windows Update请勿关闭计算机”怎么办?

    原标题&#xff1a;电脑提示“配置Windows Update请勿关闭计算机”怎么办&#xff1f;win7系统中在开机与关闭的时候总是显示“配置windows update请勿关闭计算机”相信有不少朋友都曾遇到过一次两次还能忍但经常遇到就叫人感到心烦了遇到这种问题怎么办呢&#xff1f;一般的方…...

    2022/11/19 21:17:08
  36. 计算机正在配置无法关机,关机提示 windows7 正在配置windows 请勿关闭计算机 ,然后等了一晚上也没有关掉。现在电脑无法正常关机...

    关机提示 windows7 正在配置windows 请勿关闭计算机 &#xff0c;然后等了一晚上也没有关掉。现在电脑无法正常关机以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;关机提示 windows7 正在配…...

    2022/11/19 21:17:05
  37. 钉钉提示请勿通过开发者调试模式_钉钉请勿通过开发者调试模式是真的吗好不好用...

    钉钉请勿通过开发者调试模式是真的吗好不好用 更新时间:2020-04-20 22:24:19 浏览次数:729次 区域: 南阳 > 卧龙 列举网提醒您:为保障您的权益,请不要提前支付任何费用! 虚拟位置外设器!!轨迹模拟&虚拟位置外设神器 专业用于:钉钉,外勤365,红圈通,企业微信和…...

    2022/11/19 21:17:05
  38. 配置失败还原请勿关闭计算机怎么办,win7系统出现“配置windows update失败 还原更改 请勿关闭计算机”,长时间没反应,无法进入系统的解决方案...

    前几天班里有位学生电脑(windows 7系统)出问题了&#xff0c;具体表现是开机时一直停留在“配置windows update失败 还原更改 请勿关闭计算机”这个界面&#xff0c;长时间没反应&#xff0c;无法进入系统。这个问题原来帮其他同学也解决过&#xff0c;网上搜了不少资料&#x…...

    2022/11/19 21:17:04
  39. 一个电脑无法关闭计算机你应该怎么办,电脑显示“清理请勿关闭计算机”怎么办?...

    本文为你提供了3个有效解决电脑显示“清理请勿关闭计算机”问题的方法&#xff0c;并在最后教给你1种保护系统安全的好方法&#xff0c;一起来看看&#xff01;电脑出现“清理请勿关闭计算机”在Windows 7(SP1)和Windows Server 2008 R2 SP1中&#xff0c;添加了1个新功能在“磁…...

    2022/11/19 21:17:03
  40. 请勿关闭计算机还原更改要多久,电脑显示:配置windows更新失败,正在还原更改,请勿关闭计算机怎么办...

    许多用户在长期不使用电脑的时候&#xff0c;开启电脑发现电脑显示&#xff1a;配置windows更新失败&#xff0c;正在还原更改&#xff0c;请勿关闭计算机。。.这要怎么办呢&#xff1f;下面小编就带着大家一起看看吧&#xff01;如果能够正常进入系统&#xff0c;建议您暂时移…...

    2022/11/19 21:17:02
  41. 还原更改请勿关闭计算机 要多久,配置windows update失败 还原更改 请勿关闭计算机,电脑开机后一直显示以...

    配置windows update失败 还原更改 请勿关闭计算机&#xff0c;电脑开机后一直显示以以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容&#xff0c;让我们赶快一起来看一下吧&#xff01;配置windows update失败 还原更改 请勿关闭计算机&#x…...

    2022/11/19 21:17:01
  42. 电脑配置中请勿关闭计算机怎么办,准备配置windows请勿关闭计算机一直显示怎么办【图解】...

    不知道大家有没有遇到过这样的一个问题&#xff0c;就是我们的win7系统在关机的时候&#xff0c;总是喜欢显示“准备配置windows&#xff0c;请勿关机”这样的一个页面&#xff0c;没有什么大碍&#xff0c;但是如果一直等着的话就要两个小时甚至更久都关不了机&#xff0c;非常…...

    2022/11/19 21:17:00
  43. 正在准备配置请勿关闭计算机,正在准备配置windows请勿关闭计算机时间长了解决教程...

    当电脑出现正在准备配置windows请勿关闭计算机时&#xff0c;一般是您正对windows进行升级&#xff0c;但是这个要是长时间没有反应&#xff0c;我们不能再傻等下去了。可能是电脑出了别的问题了&#xff0c;来看看教程的说法。正在准备配置windows请勿关闭计算机时间长了方法一…...

    2022/11/19 21:16:59
  44. 配置失败还原请勿关闭计算机,配置Windows Update失败,还原更改请勿关闭计算机...

    我们使用电脑的过程中有时会遇到这种情况&#xff0c;当我们打开电脑之后&#xff0c;发现一直停留在一个界面&#xff1a;“配置Windows Update失败&#xff0c;还原更改请勿关闭计算机”&#xff0c;等了许久还是无法进入系统。如果我们遇到此类问题应该如何解决呢&#xff0…...

    2022/11/19 21:16:58
  45. 如何在iPhone上关闭“请勿打扰”

    Apple’s “Do Not Disturb While Driving” is a potentially lifesaving iPhone feature, but it doesn’t always turn on automatically at the appropriate time. For example, you might be a passenger in a moving car, but your iPhone may think you’re the one dri…...

    2022/11/19 21:16:57